/alpine/edge/testing/ppc64le/

0 directories 6912 files 9.7 GiB total
List Grid
Name
Size Modified
Up
3proxy-0.9.5-r1.apk
436 KiB
3proxy-doc-0.9.5-r1.apk
26 KiB
3proxy-openrc-0.9.5-r1.apk
1.4 KiB
3proxy-systemd-0.9.5-r1.apk
1.6 KiB
66-0.8.2.1-r0.apk
317 KiB
66-dbg-0.8.2.1-r0.apk
738 KiB
66-dev-0.8.2.1-r0.apk
1.7 MiB
66-doc-0.8.2.1-r0.apk
190 KiB
66-init-0.8.2.1-r0.apk
1.3 KiB
66-tools-0.1.2.0-r0.apk
71 KiB
66-tools-dbg-0.1.2.0-r0.apk
106 KiB
66-tools-dev-0.1.2.0-r0.apk
1.7 KiB
66-tools-doc-0.1.2.0-r0.apk
39 KiB
66-tools-nsrules-0.1.2.0-r0.apk
2.6 KiB
81voltd-1.1.0-r0.apk
13 KiB
81voltd-doc-1.1.0-r0.apk
2.0 KiB
81voltd-openrc-1.1.0-r0.apk
1.5 KiB
81voltd-systemd-1.1.0-r0.apk
1.5 KiB
9base-6-r2.apk
2.0 MiB
9base-doc-6-r2.apk
64 KiB
9base-troff-6-r2.apk
495 KiB
a2jmidid-9-r3.apk
31 KiB
a2jmidid-doc-9-r3.apk
4.2 KiB
abc-0_git20240102-r0.apk
5.2 MiB
abnfgen-0.21-r0.apk
19 KiB
abnfgen-doc-0.21-r0.apk
4.8 KiB
ace-of-penguins-1.4-r3.apk
187 KiB
ace-of-penguins-doc-1.4-r3.apk
48 KiB
acmeleaf-0.2.0-r1.apk
4.9 MiB
acmeleaf-doc-0.2.0-r1.apk
5.0 KiB
acmetool-0.2.2-r18.apk
4.4 MiB
acmetool-doc-0.2.2-r18.apk
46 KiB
adguardhome-0.107.71-r0.apk
11 MiB
adguardhome-openrc-0.107.71-r0.apk
1.9 KiB
adjtimex-1.29-r0.apk
21 KiB
adjtimex-doc-1.29-r0.apk
7.1 KiB
admesh-0.98.5-r0.apk
28 KiB
admesh-dev-0.98.5-r0.apk
3.8 KiB
admesh-doc-0.98.5-r0.apk
23 KiB
advancemame-3.9-r4.apk
14 MiB
advancemame-data-3.9-r4.apk
5.8 MiB
advancemame-doc-3.9-r4.apk
373 KiB
advancemame-menu-3.9-r4.apk
936 KiB
advancemame-mess-3.9-r4.apk
3.9 MiB
advancescan-1.18-r1.apk
274 KiB
advancescan-doc-1.18-r1.apk
7.0 KiB
afetch-2.2.0-r1.apk
9.3 KiB
afetch-doc-2.2.0-r1.apk
13 KiB
afew-3.0.1-r0.apk
73 KiB
afew-doc-3.0.1-r0.apk
12 KiB
agate-3.3.19-r0.apk
759 KiB
agate-openrc-3.3.19-r0.apk
1.7 KiB
aggregate6-1.0.14-r0.apk
7.0 KiB
aggregate6-doc-1.0.14-r0.apk
2.7 KiB
aggregate6-pyc-1.0.14-r0.apk
5.6 KiB
agrep-0.8.0-r2.apk
8.3 KiB
agrep-doc-0.8.0-r2.apk
3.9 KiB
aide-0.19.2-r0.apk
86 KiB
aide-doc-0.19.2-r0.apk
15 KiB
alacritty-graphics-0.16.1-r0.apk
2.3 MiB
alacritty-graphics-bash-completion-0.16.1-r0.apk
2.5 KiB
alacritty-graphics-doc-0.16.1-r0.apk
41 KiB
alacritty-graphics-fish-completion-0.16.1-r0.apk
2.8 KiB
alacritty-graphics-zsh-completion-0.16.1-r0.apk
3.1 KiB
alertmanager-irc-relay-0.5.1-r1.apk
4.7 MiB
alertmanager-irc-relay-openrc-0.5.1-r1.apk
1.7 KiB
alpine-lift-0.2.0-r28.apk
3.6 MiB
alps-0_git20230807-r17.apk
5.4 MiB
alps-openrc-0_git20230807-r17.apk
1.8 KiB
alttab-1.7.1-r0.apk
39 KiB
alttab-doc-1.7.1-r0.apk
10 KiB
amber-0.5.1_alpha-r0.apk
916 KiB
amber-mpris-1.2.9-r0.apk
227 KiB
amber-mpris-dev-1.2.9-r0.apk
6.5 KiB
amdgpu-fan-0.1.0-r5.apk
14 KiB
amdgpu-fan-pyc-0.1.0-r5.apk
9.4 KiB
amdgpu_top-0.11.0-r0.apk
7.0 MiB
amdgpu_top-doc-0.11.0-r0.apk
3.7 KiB
amiitool-2-r2.apk
6.6 KiB
ampy-1.1.0-r6.apk
15 KiB
ampy-doc-1.1.0-r6.apk
3.8 KiB
ampy-pyc-1.1.0-r6.apk
19 KiB
amule-2.3.3-r13.apk
3.9 MiB
amule-doc-2.3.3-r13.apk
281 KiB
amule-lang-2.3.3-r13.apk
1.6 MiB
anarch-1.0-r1.apk
106 KiB
anarch-doc-1.0-r1.apk
18 KiB
anari-sdk-0.7.2-r0.apk
297 KiB
anari-sdk-dev-0.7.2-r0.apk
58 KiB
anari-sdk-static-0.7.2-r0.apk
193 KiB
android-apkeep-0.17.0-r0.apk
1.9 MiB
android-apktool-2.12.1-r0.apk
24 MiB
android-file-transfer-4.5-r0.apk
200 KiB
android-file-transfer-cli-4.5-r0.apk
116 KiB
android-file-transfer-dev-4.5-r0.apk
1.3 KiB
android-file-transfer-libs-4.5-r0.apk
134 KiB
angband-4.2.5-r0.apk
23 MiB
ansible-bender-0.10.1-r2.apk
36 KiB
ansible-bender-doc-0.10.1-r2.apk
9.8 KiB
ansible-bender-pyc-0.10.1-r2.apk
65 KiB
antibody-6.1.1-r33.apk
1.8 MiB
antimicrox-3.5.1-r0.apk
1.6 MiB
antimicrox-doc-3.5.1-r0.apk
24 KiB
aoetools-37-r2.apk
27 KiB
aoetools-doc-37-r2.apk
14 KiB
apache-mod-auth-gssapi-1.6.5-r1.apk
62 KiB
apache-mod-auth-openidc-2.4.16.11-r1.apk
219 KiB
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
4.2 KiB
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
319 KiB
apache2-mod-authnz-external-3.3.3-r0.apk
8.5 KiB
apache2-mod-authnz-external-doc-3.3.3-r0.apk
10 KiB
apache2-mod-maxminddb-1.2.0-r0.apk
12 KiB
apache2-mod-perl-2.0.13-r2.apk
785 KiB
apache2-mod-perl-dbg-2.0.13-r2.apk
80 KiB
apache2-mod-perl-dev-2.0.13-r2.apk
38 KiB
apache2-mod-perl-doc-2.0.13-r2.apk
304 KiB
apache2-mod-realdoc-1-r1.apk
4.9 KiB
apk-autoupdate-0_git20210421-r1.apk
14 KiB
apk-autoupdate-doc-0_git20210421-r1.apk
6.7 KiB
apk-snap-3.1.1-r0.apk
6.4 KiB
apk-snap-doc-3.1.1-r0.apk
20 KiB
APKINDEX.tar.gz
736 KiB
apmpkg-1.5.1-r3.apk
1.7 MiB
apmpkg-bash-completion-1.5.1-r3.apk
1.9 KiB
apmpkg-doc-1.5.1-r3.apk
2.8 KiB
apmpkg-fish-completion-1.5.1-r3.apk
1.8 KiB
apmpkg-zsh-completion-1.5.1-r3.apk
2.2 KiB
aports-glmr-0.2-r33.apk
2.5 MiB
appcenter-8.0.0-r0.apk
409 KiB
appcenter-lang-8.0.0-r0.apk
258 KiB
appimagetool-1.9.1-r0.apk
39 KiB
aprilsh-0.7.12-r10.apk
1.4 KiB
aprilsh-client-0.7.12-r10.apk
3.1 MiB
aprilsh-doc-0.7.12-r10.apk
14 KiB
aprilsh-openrc-0.7.12-r10.apk
1.6 KiB
aprilsh-server-0.7.12-r10.apk
2.4 MiB
apt-dater-1.0.4-r4.apk
58 KiB
apt-dater-doc-1.0.4-r4.apk
9.6 KiB
apt-dater-lang-1.0.4-r4.apk
13 KiB
apt-mirror-0.5.4-r0.apk
9.2 KiB
apt-mirror-doc-0.5.4-r0.apk
4.4 KiB
apt-swarm-0.5.1-r1.apk
3.0 MiB
apt-swarm-bash-completion-0.5.1-r1.apk
3.9 KiB
apt-swarm-fish-completion-0.5.1-r1.apk
5.5 KiB
apt-swarm-openrc-0.5.1-r1.apk
1.7 KiB
apt-swarm-zsh-completion-0.5.1-r1.apk
5.6 KiB
aptdec-1.8.0-r1.apk
86 KiB
aptdec-dev-1.8.0-r1.apk
3.2 KiB
aptdec-libs-1.8.0-r1.apk
17 KiB
apulse-0.1.14-r0.apk
44 KiB
apulse-doc-0.1.14-r0.apk
2.7 KiB
apx-2.4.5-r5.apk
3.4 MiB
apx-doc-2.4.5-r5.apk
2.1 KiB
aqemu-0.9.4-r3.apk
1.6 MiB
aqemu-doc-0.9.4-r3.apk
7.3 KiB
aravis-0.8.31-r0.apk
49 KiB
aravis-dev-0.8.31-r0.apk
34 KiB
aravis-libs-0.8.31-r0.apk
187 KiB
aravis-viewer-0.8.31-r0.apk
68 KiB
aravis-viewer-lang-0.8.31-r0.apk
16 KiB
arc-20221218-r0.apk
1.5 KiB
arc-cinnamon-20221218-r0.apk
68 KiB
arc-dark-20221218-r0.apk
1.5 KiB
arc-dark-cinnamon-20221218-r0.apk
68 KiB
arc-dark-gnome-20221218-r0.apk
27 KiB
arc-dark-gtk2-20221218-r0.apk
38 KiB
arc-dark-gtk3-20221218-r0.apk
93 KiB
arc-dark-gtk4-20221218-r0.apk
86 KiB
arc-dark-metacity-20221218-r0.apk
17 KiB
arc-dark-xfwm-20221218-r0.apk
7.6 KiB
arc-darker-20221218-r0.apk
1.5 KiB
arc-darker-gtk2-20221218-r0.apk
38 KiB
arc-darker-gtk3-20221218-r0.apk
124 KiB
arc-darker-gtk4-20221218-r0.apk
110 KiB
arc-darker-metacity-20221218-r0.apk
17 KiB
arc-darker-xfwm-20221218-r0.apk
7.6 KiB
arc-gnome-20221218-r0.apk
28 KiB
arc-gtk2-20221218-r0.apk
37 KiB
arc-gtk3-20221218-r0.apk
126 KiB
arc-gtk4-20221218-r0.apk
113 KiB
arc-icon-theme-20161122-r0.apk
4.4 MiB
arc-lighter-20221218-r0.apk
1.5 KiB
arc-lighter-gtk2-20221218-r0.apk
37 KiB
arc-lighter-gtk3-20221218-r0.apk
124 KiB
arc-lighter-gtk4-20221218-r0.apk
113 KiB
arc-lighter-metacity-20221218-r0.apk
17 KiB
arc-lighter-xfwm-20221218-r0.apk
7.5 KiB
arc-metacity-20221218-r0.apk
17 KiB
arc-theme-20221218-r0.apk
1.2 KiB
arc-xfwm-20221218-r0.apk
7.4 KiB
argocd-3.2.0-r1.apk
40 MiB
argocd-bash-completion-3.2.0-r1.apk
21 KiB
argocd-doc-3.2.0-r1.apk
5.3 KiB
argocd-zsh-completion-3.2.0-r1.apk
3.8 KiB
arj-0_git20220125-r1.apk
132 KiB
arj-doc-0_git20220125-r1.apk
10 KiB
armagetronad-0.2.9.1.1-r0.apk
1.6 MiB
armagetronad-doc-0.2.9.1.1-r0.apk
92 KiB
aspell-es-1.11-r0.apk
533 KiB
atac-0.18.1-r0.apk
4.9 MiB
atlantik-3.5.10_git20240323-r0.apk
395 KiB
atlantik-doc-3.5.10_git20240323-r0.apk
79 KiB
atlantik-lang-3.5.10_git20240323-r0.apk
68 KiB
atomicparsley-20240608-r0.apk
109 KiB
atool-0.39.0-r4.apk
18 KiB
atool-bash-completion-0.39.0-r4.apk
1.8 KiB
atool-doc-0.39.0-r4.apk
9.3 KiB
aufs-util-20161219-r3.apk
206 KiB
aufs-util-dev-20161219-r3.apk
1.2 KiB
aufs-util-doc-20161219-r3.apk
34 KiB
authenticator-rs-0.8.6-r0.apk
2.3 MiB
authenticator-rs-lang-0.8.6-r0.apk
3.8 KiB
autoconf-policy-0.1-r0.apk
5.5 KiB
autoremove-torrents-1.5.5-r0.apk
35 KiB
autoremove-torrents-doc-1.5.5-r0.apk
11 KiB
autoremove-torrents-pyc-1.5.5-r0.apk
54 KiB
autorestic-1.8.3-r10.apk
3.7 MiB
autotrash-0.4.7-r0.apk
23 KiB
autotrash-pyc-0.4.7-r0.apk
14 KiB
avahi2dns-0.1.0-r7.apk
2.4 MiB
avahi2dns-openrc-0.1.0-r7.apk
1.5 KiB
avara-0.7.1-r1.apk
21 MiB
avarice-2.14-r4.apk
66 KiB
avarice-doc-2.14-r4.apk
9.2 KiB
avra-1.4.2-r0.apk
40 KiB
avra-dev-1.4.2-r0.apk
254 KiB
aws-ecr-get-login-password-1.0.0_rc2-r1.apk
3.1 MiB
aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk
2.1 KiB
azote-1.14.0-r0.apk
7.6 MiB
azote-pyc-1.14.0-r0.apk
98 KiB
azpainter-3.0.11-r0.apk
935 KiB
azpainter-doc-3.0.11-r0.apk
42 KiB
azure-iot-sdk-c-static-1.11.0-r0.apk
834 KiB
b2-tools-4.3.2-r0.apk
72 KiB
b2-tools-pyc-4.3.2-r0.apk
136 KiB
backup-manager-0.7.15-r1.apk
55 KiB
bacon-3.18.0-r0.apk
1.9 MiB
baikal-0.10.1-r1.apk
1.3 MiB
baikal-mysql-0.10.1-r1.apk
1.0 KiB
baikal-pgsql-0.10.1-r1.apk
1.0 KiB
baikal-sqlite-0.10.1-r1.apk
1.2 KiB
bakelite-0.4.2-r0.apk
40 KiB
baresip-4.1.0-r0.apk
1.2 MiB
baresip-dev-4.1.0-r0.apk
16 KiB
barman-3.16.2-r0.apk
378 KiB
barman-bash-completion-3.16.2-r0.apk
1.4 KiB
barman-doc-3.16.2-r0.apk
89 KiB
barman-pyc-3.16.2-r0.apk
594 KiB
barnyard2-2.1.14_git20160413-r1.apk
129 KiB
barnyard2-openrc-2.1.14_git20160413-r1.apk
2.5 KiB
barrier-2.4.0-r2.apk
985 KiB
barrier-doc-2.4.0-r2.apk
13 KiB
bartib-1.0.1-r1.apk
377 KiB
base64c-0.2.1-r0.apk
4.6 KiB
base64c-dev-0.2.1-r0.apk
5.4 KiB
bash-pinyin-completion-rs-1.0.3-r0.apk
313 KiB
bash-pinyin-completion-rs-doc-1.0.3-r0.apk
13 KiB
bat-extras-2024.08.24-r0.apk
5.1 KiB
bat-extras-batdiff-2024.08.24-r0.apk
5.1 KiB
bat-extras-batgrep-2024.08.24-r0.apk
7.1 KiB
bat-extras-batman-2024.08.24-r0.apk
4.5 KiB
bat-extras-batpipe-2024.08.24-r0.apk
6.8 KiB
bat-extras-batwatch-2024.08.24-r0.apk
5.6 KiB
bat-extras-doc-2024.08.24-r0.apk
15 KiB
bat-extras-prettybat-2024.08.24-r0.apk
5.3 KiB
batmon-0.0.1-r0.apk
484 KiB
battery-limit-openrc-1-r0.apk
1.6 KiB
bazaar-0.7.2-r0.apk
522 KiB
bazaar-lang-0.7.2-r0.apk
243 KiB
bazaar-systemd-0.7.2-r0.apk
1.5 KiB
bcg729-1.1.1-r1.apk
34 KiB
bcg729-dev-1.1.1-r1.apk
3.4 KiB
bchunk-1.2.2-r3.apk
7.6 KiB
bchunk-doc-1.2.2-r3.apk
2.7 KiB
bdfr-2.6.2-r1.apk
131 KiB
beancount-language-server-1.4.1-r0.apk
1.5 MiB
beard-0.4-r0.apk
3.1 KiB
beard-doc-0.4-r0.apk
2.5 KiB
bees-0.10-r2.apk
295 KiB
bees-openrc-0.10-r2.apk
1.7 KiB
belcard-5.3.105-r0.apk
16 KiB
belcard-dev-5.3.105-r0.apk
11 KiB
belcard-libs-5.3.105-r0.apk
221 KiB
belle-sip-5.3.105-r0.apk
624 KiB
belle-sip-dev-5.3.105-r0.apk
54 KiB
belr-5.3.105-r0.apk
116 KiB
belr-dev-5.3.105-r0.apk
14 KiB
berry-lang-1.1.0-r0.apk
132 KiB
bestline-0.0_git20211108-r0.apk
22 KiB
bestline-dev-0.0_git20211108-r0.apk
1.7 KiB
bestline-doc-0.0_git20211108-r0.apk
18 MiB
bettercap-2.41.5-r0.apk
19 MiB
bettercap-doc-2.41.5-r0.apk
13 KiB
bgpq4-1.15-r0.apk
34 KiB
bgpq4-doc-1.15-r0.apk
6.1 KiB
bgs-0.8-r1.apk
5.6 KiB
bgs-doc-0.8-r1.apk
2.0 KiB
biboumi-9.0-r9.apk
276 KiB
biboumi-doc-9.0-r9.apk
1.2 KiB
biboumi-openrc-9.0-r9.apk
1.6 KiB
bindfs-1.17.7-r1.apk
22 KiB
bindfs-doc-1.17.7-r1.apk
8.8 KiB
binwalk-3.1.0-r0.apk
1.1 MiB
biome-2.3.11-r0.apk
8.4 MiB
biometryd-0.3.3-r0.apk
336 KiB
biometryd-dev-0.3.3-r0.apk
13 KiB
birdtray-1.11.4-r0.apk
439 KiB
bitlbee-facebook-1.2.2-r0.apk
61 KiB
bitlbee-mastodon-1.4.5-r0.apk
48 KiB
bitritter-0.1.1-r0.apk
2.2 MiB
bkt-0.8.0-r0.apk
396 KiB
bkt-doc-0.8.0-r0.apk
7.0 KiB
blackbox-1.20220610-r1.apk
15 KiB
blip-0.10-r0.apk
15 KiB
blip-doc-0.10-r0.apk
30 KiB
bochs-2.8-r1.apk
927 KiB
bochs-doc-2.8-r1.apk
139 KiB
boinc-7.24.3-r0.apk
1.6 MiB
boinc-dev-7.24.3-r0.apk
646 KiB
boinc-doc-7.24.3-r0.apk
7.7 KiB
boinc-gui-7.24.3-r0.apk
1.0 MiB
boinc-lang-7.24.3-r0.apk
877 KiB
boinc-libs-7.24.3-r0.apk
230 KiB
boinc-screensaver-7.24.3-r0.apk
146 KiB
bomctl-0.1.9-r11.apk
9.0 MiB
bomctl-bash-completion-0.1.9-r11.apk
4.9 KiB
bomctl-fish-completion-0.1.9-r11.apk
4.1 KiB
bomctl-zsh-completion-0.1.9-r11.apk
3.8 KiB
bonzomatic-20230615-r0.apk
667 KiB
bootchart2-0.14.9-r1.apk
137 KiB
bootchart2-systemd-0.14.9-r1.apk
2.0 KiB
bootinfo-0.1.0-r4.apk
19 KiB
bootinfo-pyc-0.1.0-r4.apk
8.0 KiB
bootloose-0.7.1-r16.apk
2.1 MiB
bootterm-0.5-r0.apk
20 KiB
bootterm-dbg-0.5-r0.apk
2.0 KiB
bore-0.5.2-r0.apk
596 KiB
borogove-sdk-0_git20251206-r0.apk
3.6 MiB
boson-0_git20211219-r0.apk
18 KiB
botan2-2.19.5-r0.apk
450 KiB
botan2-dev-2.19.5-r0.apk
311 KiB
botan2-doc-2.19.5-r0.apk
306 KiB
botan2-libs-2.19.5-r0.apk
2.9 MiB
boxes-2.3.1-r0.apk
85 KiB
boxes-doc-2.3.1-r0.apk
6.9 KiB
brial-1.2.11-r4.apk
1.0 MiB
brial-dev-1.2.11-r4.apk
1.7 MiB
btcd-0.25.0-r0.apk
15 MiB
btfs-2.24-r12.apk
32 KiB
btfs-doc-2.24-r12.apk
2.1 KiB
btpd-0.16-r2.apk
88 KiB
btpd-doc-0.16-r2.apk
8.4 KiB
budgie-control-center-1.4.0-r0.apk
3.0 MiB
budgie-control-center-bash-completion-1.4.0-r0.apk
2.0 KiB
budgie-control-center-lang-1.4.0-r0.apk
3.9 MiB
budgie-desktop-10.9.2-r0.apk
1.4 MiB
budgie-desktop-dev-10.9.2-r0.apk
18 KiB
budgie-desktop-doc-10.9.2-r0.apk
5.4 KiB
budgie-desktop-lang-10.9.2-r0.apk
586 KiB
budgie-screensaver-5.1.0-r0.apk
79 KiB
budgie-screensaver-doc-5.1.0-r0.apk
3.1 KiB
budgie-screensaver-lang-5.1.0-r0.apk
240 KiB
budgie-session-0.9.1-r0.apk
125 KiB
budgie-session-doc-0.9.1-r0.apk
5.0 KiB
budgie-session-lang-0.9.1-r0.apk
306 KiB
buf-1.59.0-r1.apk
14 MiB
buf-bash-completion-1.59.0-r1.apk
8.4 KiB
buf-fish-completion-1.59.0-r1.apk
4.0 KiB
buf-protoc-plugins-1.59.0-r1.apk
13 MiB
buf-zsh-completion-1.59.0-r1.apk
3.8 KiB
build-next-22.0.0_pre20251226-r0.apk
1.3 KiB
build-next-bfd-22.0.0_pre20251226-r0.apk
884 KiB
build-next-gas-22.0.0_pre20251226-r0.apk
831 KiB
build-next-overlay-22.0.0_pre20251226-r0.apk
2.7 KiB
buildcache-0.28.9-r0.apk
898 KiB
bump2version-1.0.1-r6.apk
21 KiB
bump2version-pyc-1.0.1-r6.apk
29 KiB
burp-3.1.4-r0.apk
179 KiB
burp-doc-3.1.4-r0.apk
99 KiB
burp-server-3.1.4-r0.apk
36 KiB
butane-0.25.1-r2.apk
3.0 MiB
bwrap-oci-0.2-r1.apk
16 KiB
bwrap-oci-doc-0.2-r1.apk
2.2 KiB
bzmenu-0.2.1-r3.apk
1.2 MiB
cadence-0.9.2-r1.apk
1.5 MiB
caffeine-ng-4.2.0-r1.apk
100 KiB
caffeine-ng-doc-4.2.0-r1.apk
2.9 KiB
caffeine-ng-lang-4.2.0-r1.apk
34 KiB
caja-gtkhash-plugin-1.5-r0.apk
25 KiB
capnet-assist-8.0.0-r0.apk
44 KiB
capnet-assist-lang-8.0.0-r0.apk
37 KiB
caps2esc-0.3.2-r0.apk
4.8 KiB
captive-browser-0_git20210801-r2.apk
1.2 MiB
captive-browser-doc-0_git20210801-r2.apk
3.4 KiB
cargo-crev-0.26.3-r0.apk
6.5 MiB
cargo-geiger-0.13.0-r0.apk
5.6 MiB
cargo-geiger-doc-0.13.0-r0.apk
7.4 KiB
cargo-generate-0.23.5-r0.apk
2.4 MiB
cargo-machete-0.9.1-r0.apk
1.3 MiB
cargo-machete-doc-0.9.1-r0.apk
4.1 KiB
cargo-run-bin-1.7.2-r0.apk
482 KiB
cargo-run-bin-doc-1.7.2-r0.apk
4.8 KiB
cargo-show-asm-0.2.53-r0.apk
893 KiB
cargo-show-asm-doc-0.2.53-r0.apk
9.7 KiB
cargo-shuttle-0.56.6-r0.apk
5.1 MiB
cargo-shuttle-bash-completion-0.56.6-r0.apk
4.9 KiB
cargo-shuttle-doc-0.56.6-r0.apk
8.7 KiB
cargo-shuttle-fish-completion-0.56.6-r0.apk
8.8 KiB
cargo-shuttle-zsh-completion-0.56.6-r0.apk
7.7 KiB
cargo-udeps-0.1.60-r0.apk
5.2 MiB
cargo-udeps-doc-0.1.60-r0.apk
7.2 KiB
cargo-update-18.0.0-r0.apk
1.2 MiB
cargo-update-doc-18.0.0-r0.apk
8.2 KiB
cargo-vendor-filterer-0.5.18-r0.apk
683 KiB
cartero-0.2.2-r0.apk
1.2 MiB
cartero-lang-0.2.2-r0.apk
44 KiB
castero-0.9.5-r4.apk
50 KiB
castero-pyc-0.9.5-r4.apk
94 KiB
castor-0.9.0-r2.apk
745 KiB
cataclysm-dda-0h-r0.apk
19 MiB
cataclysm-dda-curses-0h-r0.apk
12 MiB
cataclysm-dda-doc-0h-r0.apk
4.4 KiB
cataclysm-dda-lang-0h-r0.apk
38 MiB
cataclysm-dda-tiles-0h-r0.apk
49 MiB
catdoc-0.95-r1.apk
112 KiB
catdoc-doc-0.95-r1.apk
9.0 KiB
catfish-4.20.1-r0.apk
128 KiB
catfish-doc-4.20.1-r0.apk
13 KiB
catfish-lang-4.20.1-r0.apk
166 KiB
catfish-pyc-4.20.1-r0.apk
104 KiB
catppuccin-whiskers-2.5.1-r0.apk
1.7 MiB
catppuccin-whiskers-doc-2.5.1-r0.apk
2.0 KiB
cava-0.10.6-r0.apk
49 KiB
cbqn-0.10.0-r0.apk
833 KiB
cc65-2.19-r0.apk
8.9 MiB
ccrtp-2.1.2-r0.apk
96 KiB
ccrtp-dev-2.1.2-r0.apk
53 KiB
ccrtp-doc-2.1.2-r0.apk
31 KiB
ccze-0.2.1-r1.apk
81 KiB
ccze-dev-0.2.1-r1.apk
3.3 KiB
ccze-doc-0.2.1-r1.apk
8.8 KiB
cdba-1.0-r2.apk
8.1 KiB
cdba-server-1.0-r2.apk
22 KiB
cddlib-0.94m-r2.apk
216 KiB
cddlib-dev-0.94m-r2.apk
14 KiB
cddlib-doc-0.94m-r2.apk
864 KiB
cddlib-static-0.94m-r2.apk
306 KiB
cddlib-tools-0.94m-r2.apk
99 KiB
cdist-7.0.0-r6.apk
511 KiB
cdist-pyc-7.0.0-r6.apk
127 KiB
cdogs-sdl-2.3.2-r0.apk
28 MiB
certbot-dns-hetzner-2.0.1-r1.apk
9.9 KiB
certbot-dns-hetzner-pyc-2.0.1-r1.apk
6.2 KiB
certbot-dns-njalla-2.0.0-r0.apk
9.0 KiB
certbot-dns-njalla-pyc-2.0.0-r0.apk
4.0 KiB
certbot-dns-pdns-0.1.1-r1.apk
8.3 KiB
certbot-dns-pdns-pyc-0.1.1-r1.apk
3.6 KiB
certigo-1.16.0-r28.apk
3.6 MiB
certstrap-1.3.0-r29.apk
2.2 MiB
cfssl-1.6.5-r10.apk
28 MiB
cgiirc-0.5.12-r1.apk
134 KiB
cgo-0.6.1-r1.apk
10 KiB
cgo-doc-0.6.1-r1.apk
3.9 KiB
charls-2.4.2-r0.apk
69 KiB
charls-dev-2.4.2-r0.apk
26 KiB
charta-0.8.2-r1.apk
2.0 MiB
chasquid-1.17.0-r0.apk
11 MiB
chasquid-doc-1.17.0-r0.apk
11 KiB
chasquid-openrc-1.17.0-r0.apk
1.7 KiB
checkpolicy-3.6-r0.apk
369 KiB
checkpolicy-doc-3.6-r0.apk
3.9 KiB
cherrytree-1.4.0-r0.apk
2.5 MiB
cherrytree-doc-1.4.0-r0.apk
1.8 KiB
cherrytree-lang-1.4.0-r0.apk
858 KiB
chess-tui-2.0.0-r0.apk
1.4 MiB
chess-tui-doc-2.0.0-r0.apk
2.0 KiB
chimerautils-15.0.3-r0.apk
1.4 MiB
chimerautils-dbg-15.0.3-r0.apk
2.9 MiB
chocolate-doom-3.1.1-r0.apk
1.7 MiB
chocolate-doom-doc-3.1.1-r0.apk
232 KiB
cilium-cli-0.16.13-r10.apk
53 MiB
cilium-cli-bash-completion-0.16.13-r10.apk
4.8 KiB
cilium-cli-fish-completion-0.16.13-r10.apk
4.1 KiB
cilium-cli-zsh-completion-0.16.13-r10.apk
3.8 KiB
cimg-3.4.1-r0.apk
826 KiB
circuslinux-1.0.3-r1.apk
20 KiB
circuslinux-data-1.0.3-r1.apk
1.1 MiB
circuslinux-doc-1.0.3-r1.apk
18 KiB
ckb-next-0.6.2-r1.apk
1.4 MiB
ckb-next-daemon-0.6.2-r1.apk
72 KiB
ckb-next-daemon-openrc-0.6.2-r1.apk
1.6 KiB
ckb-next-dev-0.6.2-r1.apk
4.7 KiB
clang-next-22.0.0_pre20251226-r0.apk
10 MiB
clang-next-ccache-22.0.0_pre20251226-r0.apk
1.4 KiB
clang-next-dev-22.0.0_pre20251226-r0.apk
3.9 MiB
clang-next-headers-22.0.0_pre20251226-r0.apk
1012 KiB
clang-next-libclang-22.0.0_pre20251226-r0.apk
10 MiB
clang-next-libs-22.0.0_pre20251226-r0.apk
17 MiB
clang-next-rtlib-22.0.0_pre20251226-r0.apk
7.0 MiB
clang-next-rtlib-atomic-22.0.0_pre20251226-r0.apk
10 KiB
clang-next-rtlib-scudo-22.0.0_pre20251226-r0.apk
45 KiB
clang-next-static-22.0.0_pre20251226-r0.apk
34 MiB
clatd-2.1.0-r0.apk
14 KiB
clementine-1.4.1_git20250503-r0.apk
6.4 MiB
clevis-21-r0.apk
58 KiB
clevis-bash-completion-21-r0.apk
1.8 KiB
clevis-dbg-21-r0.apk
62 KiB
clevis-doc-21-r0.apk
23 KiB
clevis-extra-pins-0_git20230629-r0.apk
4.4 KiB
click-0.5.2-r4.apk
162 KiB
click-dev-0.5.2-r4.apk
8.9 KiB
click-doc-0.5.2-r4.apk
3.0 KiB
click-pyc-0.5.2-r4.apk
174 KiB
clinfo-3.0.23.01.25-r0.apk
48 KiB
clinfo-doc-3.0.23.01.25-r0.apk
6.2 KiB
cliphist-0.7.0-r1.apk
1005 KiB
cliphist-fzf-0.7.0-r1.apk
1.5 KiB
clipit-1.4.5-r3.apk
67 KiB
clipit-doc-1.4.5-r3.apk
2.1 KiB
cliquer-1.23-r0.apk
7.5 KiB
cliquer-dev-1.23-r0.apk
7.2 KiB
cliquer-libs-1.23-r0.apk
28 KiB
cliquer-static-1.23-r0.apk
35 KiB
cliquer-tests-1.23-r0.apk
24 KiB
cloudfoundry-cli-8.7.9-r14.apk
8.6 MiB
cluster-glue-1.0.12-r5.apk
308 KiB
cluster-glue-dev-1.0.12-r5.apk
997 KiB
cluster-glue-doc-1.0.12-r5.apk
33 KiB
cluster-glue-libs-1.0.12-r5.apk
116 KiB
cmusfm-0.5.0-r1.apk
16 KiB
cobang-2.3.1-r0.apk
50 KiB
cobang-lang-2.3.1-r0.apk
15 KiB
coccinelle-1.1.1-r2.apk
7.1 MiB
coccinelle-bash-completion-1.1.1-r2.apk
2.6 KiB
coccinelle-doc-1.1.1-r2.apk
16 KiB
cocogitto-6.5.0-r0.apk
1.9 MiB
cocogitto-bash-completion-6.5.0-r0.apk
2.9 KiB
cocogitto-doc-6.5.0-r0.apk
39 KiB
cocogitto-fish-completion-6.5.0-r0.apk
3.5 KiB
cocogitto-zsh-completion-6.5.0-r0.apk
2.9 KiB
code-minimap-0.6.7-r0.apk
392 KiB
code-minimap-doc-0.6.7-r0.apk
7.7 KiB
codec2-1.2.0-r1.apk
677 KiB
codec2-dev-1.2.0-r1.apk
15 KiB
coldbrew-1.0-r0.apk
3.6 KiB
colormake-0.9.20170221-r0.apk
4.0 KiB
colormake-doc-0.9.20170221-r0.apk
2.7 KiB
colorpicker-0_git20201128-r1.apk
4.1 KiB
comet-0.3.2-r0.apk
2.9 MiB
comics-downloader-0.33.8-r15.apk
3.7 MiB
comics-downloader-gui-0.33.8-r15.apk
5.4 MiB
commit-lsp-0.1.0-r0.apk
2.2 MiB
commoncpp-7.0.1-r1.apk
306 KiB
commoncpp-dev-7.0.1-r1.apk
173 KiB
commoncpp-doc-7.0.1-r1.apk
15 KiB
commoncpp-tools-7.0.1-r1.apk
62 KiB
compiz-0.9.14.2-r13.apk
6.4 MiB
compiz-dev-0.9.14.2-r13.apk
117 KiB
compiz-lang-0.9.14.2-r13.apk
1.2 MiB
compiz-pyc-0.9.14.2-r13.apk
111 KiB
compiz-utils-0.9.14.2-r13.apk
3.1 KiB
comrak-0.49.0-r0.apk
1.0 MiB
comrak-doc-0.49.0-r0.apk
10 KiB
conntracct-0.2.7-r36.apk
4.9 MiB
conntracct-openrc-0.2.7-r36.apk
1.7 KiB
conserver-8.2.7-r0.apk
130 KiB
conserver-doc-8.2.7-r0.apk
27 KiB
conserver-openrc-8.2.7-r0.apk
1.4 KiB
console_bridge-1.0.2-r0.apk
9.7 KiB
console_bridge-dev-1.0.2-r0.apk
4.5 KiB
consul-replicate-0.4.0-r36.apk
2.8 MiB
contractor-0.3.5-r0.apk
28 KiB
convert2json-2.4.1-r0.apk
1.1 KiB
convert2json-bson-2.4.1-r0.apk
1.0 KiB
convert2json-bson-jaq-2.4.1-r0.apk
267 KiB
convert2json-bson-json-2.4.1-r0.apk
256 KiB
convert2json-cbor-2.4.1-r0.apk
1.0 KiB
convert2json-cbor-jaq-2.4.1-r0.apk
227 KiB
convert2json-cbor-json-2.4.1-r0.apk
217 KiB
convert2json-csv-2.4.1-r0.apk
1.0 KiB
convert2json-csv-jaq-2.4.1-r0.apk
247 KiB
convert2json-csv-json-2.4.1-r0.apk
236 KiB
convert2json-doc-2.4.1-r0.apk
13 KiB
convert2json-ini-2.4.1-r0.apk
1.0 KiB
convert2json-ini-jaq-2.4.1-r0.apk
210 KiB
convert2json-ini-json-2.4.1-r0.apk
199 KiB
convert2json-jaq-2.4.1-r0.apk
1.1 KiB
convert2json-json-2.4.1-r0.apk
1.1 KiB
convert2json-messagepack-2.4.1-r0.apk
1.0 KiB
convert2json-messagepack-jaq-2.4.1-r0.apk
225 KiB
convert2json-messagepack-json-2.4.1-r0.apk
215 KiB
convert2json-plist-2.4.1-r0.apk
1.0 KiB
convert2json-plist-jaq-2.4.1-r0.apk
269 KiB
convert2json-plist-json-2.4.1-r0.apk
259 KiB
convert2json-rsv-2.4.1-r0.apk
1.0 KiB
convert2json-rsv-jaq-2.4.1-r0.apk
188 KiB
convert2json-rsv-json-2.4.1-r0.apk
176 KiB
convert2json-toml-2.4.1-r0.apk
1.0 KiB
convert2json-toml-jaq-2.4.1-r0.apk
268 KiB
convert2json-toml-json-2.4.1-r0.apk
257 KiB
convert2json-xml-2.4.1-r0.apk
1.0 KiB
convert2json-xml-jaq-2.4.1-r0.apk
224 KiB
convert2json-xml-json-2.4.1-r0.apk
214 KiB
convert2json-yaml-2.4.1-r0.apk
1.0 KiB
convert2json-yaml-jaq-2.4.1-r0.apk
296 KiB
convert2json-yaml-json-2.4.1-r0.apk
285 KiB
copyq-13.0.0-r1.apk
2.7 MiB
copyq-bash-completion-13.0.0-r1.apk
2.0 KiB
copyq-doc-13.0.0-r1.apk
3.2 KiB
corosync-3.1.10-r0.apk
320 KiB
corosync-dev-3.1.10-r0.apk
437 KiB
corosync-doc-3.1.10-r0.apk
190 KiB
corosync-openrc-3.1.10-r0.apk
1.5 KiB
cortex-tenant-1.15.7-r2.apk
4.0 MiB
cortex-tenant-openrc-1.15.7-r2.apk
1.8 KiB
cowsay-3.04-r2.apk
18 KiB
cowsay-doc-3.04-r2.apk
3.7 KiB
cpdf-2.8.1-r0.apk
2.1 MiB
cpdf-doc-2.8.1-r0.apk
558 KiB
cpiped-0.1.0-r0.apk
7.1 KiB
cpp-httplib-0.30.1-r0.apk
96 KiB
cpp-httplib-doc-0.30.1-r0.apk
14 KiB
cpplint-2.0.2-r0.apk
80 KiB
cpplint-pyc-2.0.2-r0.apk
99 KiB
crazydiskinfo-1.1.0-r1.apk
34 KiB
createrepo_c-1.1.4-r1.apk
51 KiB
createrepo_c-bash-completion-1.1.4-r1.apk
2.6 KiB
createrepo_c-dev-1.1.4-r1.apk
30 KiB
createrepo_c-doc-1.1.4-r1.apk
8.4 KiB
createrepo_c-libs-1.1.4-r1.apk
90 KiB
crispy-doom-7.1-r0.apk
1.9 MiB
crispy-doom-doc-7.1-r0.apk
107 KiB
crossplane-0.5.8-r3.apk
30 KiB
crossplane-pyc-0.5.8-r3.apk
39 KiB
crowdsec-1.7.4-r0.apk
38 MiB
crowdsec-email-plugin-1.7.4-r0.apk
6.0 MiB
crowdsec-file-plugin-1.7.4-r0.apk
6.0 MiB
crowdsec-http-plugin-1.7.4-r0.apk
6.0 MiB
crowdsec-openrc-1.7.4-r0.apk
1.5 KiB
crowdsec-sentinel-plugin-1.7.4-r0.apk
6.0 MiB
crowdsec-slack-plugin-1.7.4-r0.apk
6.0 MiB
crowdsec-splunk-plugin-1.7.4-r0.apk
6.0 MiB
crun-vm-0.3.0-r0.apk
1.1 MiB
crun-vm-doc-0.3.0-r0.apk
13 KiB
cscope-15.9-r1.apk
154 KiB
cscope-doc-15.9-r1.apk
7.5 KiB
csfml-2.5.2-r0.apk
101 KiB
csfml-dev-2.5.2-r0.apk
77 KiB
csfml-doc-2.5.2-r0.apk
204 KiB
csmith-2.3.0-r2.apk
320 KiB
csmith-doc-2.3.0-r2.apk
2.8 KiB
csol-1.6.0-r0.apk
40 KiB
csol-doc-1.6.0-r0.apk
3.6 KiB
ctorrent-dnh-3.3.2-r2.apk
88 KiB
cups-pdf-3.0.2-r0.apk
22 KiB
curlftpfs-0.9.2-r3.apk
24 KiB
curlftpfs-doc-0.9.2-r3.apk
5.9 KiB
curtail-1.13.0-r0.apk
30 KiB
curtail-lang-1.13.0-r0.apk
77 KiB
cutechess-1.3.1-r0.apk
1.1 MiB
cutechess-cli-1.3.1-r0.apk
344 KiB
cutechess-cli-doc-1.3.1-r0.apk
6.3 KiB
cutechess-doc-1.3.1-r0.apk
3.3 KiB
cvise-2.11.0-r0.apk
5.4 MiB
cvise-pyc-2.11.0-r0.apk
59 KiB
cvs-fast-export-1.65-r0.apk
50 KiB
cvs-fast-export-doc-1.65-r0.apk
17 KiB
cvs-fast-export-tools-1.65-r0.apk
8.4 KiB
cyrus-sasl-xoauth2-0.2-r1.apk
7.1 KiB
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2.0 KiB
cyrus-sasl-xoauth2-static-0.2-r1.apk
7.2 KiB
cz-viator-hourglass-black-20210706-r0.apk
219 KiB
daemontools-0.76-r3.apk
109 KiB
daemontools-openrc-0.76-r3.apk
1.7 KiB
daktilo-0.6.0-r0.apk
1.8 MiB
daktilo-bash-completion-0.6.0-r0.apk
1.9 KiB
daktilo-doc-0.6.0-r0.apk
8.4 KiB
daktilo-fish-completion-0.6.0-r0.apk
1.7 KiB
daktilo-zsh-completion-0.6.0-r0.apk
2.0 KiB
dam-0_git20250728-r0.apk
14 KiB
dam-doc-0_git20250728-r0.apk
2.0 KiB
darkradiant-3.9.0-r1.apk
9.3 MiB
darkradiant-doc-3.9.0-r1.apk
2.2 MiB
darkradiant-lang-3.9.0-r1.apk
37 KiB
darts-clone-0.32h-r0.apk
43 KiB
darts-clone-dev-0.32h-r0.apk
13 KiB
dasht-2.4.0-r0.apk
14 KiB
dasht-doc-2.4.0-r0.apk
11 KiB
dasht-zsh-completion-2.4.0-r0.apk
1.8 KiB
davmail-6.5.1-r0.apk
8.3 MiB
dbmate-2.28.0-r1.apk
11 MiB
dbmate-doc-2.28.0-r1.apk
2.0 KiB
dbus-broker-37-r0.apk
85 KiB
dbus-broker-doc-37-r0.apk
5.6 KiB
dcmtk-3.7.0-r0.apk
1.3 MiB
dcmtk-dev-3.7.0-r0.apk
1.7 MiB
dcmtk-doc-3.7.0-r0.apk
258 KiB
dcmtk-openrc-3.7.0-r0.apk
1.5 KiB
dcnnt-0.10.0-r1.apk
28 KiB
dcnnt-doc-0.10.0-r1.apk
6.3 KiB
dcnnt-pyc-0.10.0-r1.apk
61 KiB
ddcci-driver-linux-src-0.4.5-r2.apk
19 KiB
ddgr-2.2-r0.apk
20 KiB
ddgr-bash-completion-2.2-r0.apk
2.0 KiB
ddgr-doc-2.2-r0.apk
11 KiB
ddgr-fish-completion-2.2-r0.apk
2.0 KiB
ddgr-zsh-completion-2.2-r0.apk
2.4 KiB
ddserver-0_git20200930-r1.apk
13 KiB
deadbeef-soxr-20180801-r0.apk
6.6 KiB
debconf-1.5.82-r0.apk
69 KiB
debconf-bash-completion-1.5.82-r0.apk
1.6 KiB
debconf-doc-1.5.82-r0.apk
26 KiB
debconf-lang-1.5.82-r0.apk
132 KiB
debconf-utils-1.5.82-r0.apk
6.4 KiB
decoder-0.7.0-r0.apk
2.1 MiB
decoder-lang-0.7.0-r0.apk
59 KiB
dehydrated-0.7.1-r0.apk
26 KiB
desed-1.2.1-r1.apk
417 KiB
desed-doc-1.2.1-r1.apk
2.6 KiB
desync-0.9.6-r10.apk
7.4 MiB
detox-2.0.0-r0.apk
110 KiB
detox-doc-2.0.0-r0.apk
20 KiB
deviced-0_git20250427-r0.apk
130 KiB
deviced-dev-0_git20250427-r0.apk
26 KiB
deviced-openrc-0_git20250427-r0.apk
1.4 KiB
devil-1.8.0-r0.apk
286 KiB
devil-dev-1.8.0-r0.apk
13 KiB
dewduct-0.2.3-r0.apk
1.2 MiB
dfl-applications-0.3.0-r0.apk
71 KiB
dfl-applications-dev-0.3.0-r0.apk
3.7 KiB
dfl-ipc-0.3.0-r0.apk
50 KiB
dfl-ipc-dev-0.3.0-r0.apk
4.5 KiB
dfl-login1-0.3.0-r0.apk
36 KiB
dfl-login1-dev-0.3.0-r0.apk
3.4 KiB
dfl-sni-0.3.0-r0.apk
63 KiB
dfl-sni-dev-0.3.0-r0.apk
4.7 KiB
dfu-programmer-1.1.0-r0.apk
34 KiB
dfu-programmer-bash-completion-1.1.0-r0.apk
2.5 KiB
dfu-programmer-doc-1.1.0-r0.apk
5.5 KiB
dhewm3-1.5.4-r0.apk
5.2 MiB
diceware-1.0.1-r0.apk
334 KiB
diceware-pyc-1.0.1-r0.apk
18 KiB
disfetch-3.7-r0.apk
8.0 KiB
diskonaut-0.11.0-r3.apk
473 KiB
diskus-0.8.0-r0.apk
364 KiB
dislocker-0.7.3-r6.apk
16 KiB
dislocker-doc-0.7.3-r6.apk
5.8 KiB
dislocker-libs-0.7.3-r6.apk
45 KiB
distroshelf-1.3.0-r0.apk
3.6 MiB
distroshelf-lang-1.3.0-r0.apk
37 KiB
dlib-19.24.4-r0.apk
790 KiB
dlib-dev-19.24.4-r0.apk
2.4 MiB
dmarc-cat-0.15.0-r10.apk
2.7 MiB
dmarc-metrics-exporter-1.2.0-r0.apk
25 KiB
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
1.6 KiB
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
46 KiB
dmenu-wl-0.1-r0.apk
19 KiB
dmenu-wl-doc-0.1-r0.apk
3.8 KiB
dnote-0.16.0-r0.apk
3.9 MiB
dnote-bash-completion-0.16.0-r0.apk
1.8 KiB
dnote-doc-0.16.0-r0.apk
6.0 KiB
dnote-zsh-completion-0.16.0-r0.apk
1.8 KiB
dnscontrol-4.30.0-r0.apk
16 MiB
dnscontrol-doc-4.30.0-r0.apk
2.0 KiB
dnscrypt-wrapper-0.4.2-r3.apk
30 KiB
dnsenum-1.3.2-r0.apk
21 KiB
dnsenum-doc-1.3.2-r0.apk
5.0 KiB
dnsperf-2.14.0-r0.apk
71 KiB
dnsperf-doc-2.14.0-r0.apk
35 KiB
dnssec-tools-2.2.3-r13.apk
772 KiB
dnssec-tools-dev-2.2.3-r13.apk
192 KiB
dnssec-tools-doc-2.2.3-r13.apk
317 KiB
doasedit-1.0.9-r0.apk
3.2 KiB
docker-volume-local-persist-1.3.0-r38.apk
2.5 MiB
docker-volume-local-persist-openrc-1.3.0-r38.apk
1.5 KiB
dockerize-0.9.6-r2.apk
3.3 MiB
dolt-1.79.1-r0.apk
37 MiB
dooit-3.3.3-r0.apk
46 KiB
dooit-extras-0.2.0-r0.apk
12 KiB
dooit-extras-pyc-0.2.0-r0.apk
22 KiB
dooit-pyc-3.3.3-r0.apk
103 KiB
downloader-cli-0.3.4-r2.apk
1.7 KiB
draco-1.5.7-r2.apk
855 KiB
draco-dev-1.5.7-r2.apk
204 KiB
draco-static-1.5.7-r2.apk
1.5 MiB
draco-tools-1.5.7-r2.apk
1.2 MiB
draw-0.1.1-r18.apk
1009 KiB
drogon-1.9.4-r2.apk
1.6 MiB
drogon-dev-1.9.4-r2.apk
121 KiB
drogon-doc-1.9.4-r2.apk
2.0 KiB
droidcam-2.1.3-r3.apk
19 KiB
droidcam-gui-2.1.3-r3.apk
29 KiB
drone-cli-1.8.0-r15.apk
5.7 MiB
dropwatch-1.5.5-r2.apk
18 KiB
dropwatch-doc-1.5.5-r2.apk
3.4 KiB
drumgizmo-0.9.20-r1.apk
414 KiB
drupal7-7.103-r0.apk
3.3 MiB
drupal7-doc-7.103-r0.apk
57 KiB
dsp-2.0-r2.apk
169 KiB
dsp-doc-2.0-r2.apk
9.9 KiB
dstask-0.27-r4.apk
1.5 MiB
dstask-bash-completion-0.27-r4.apk
1.9 KiB
dstask-fish-completion-0.27-r4.apk
1.4 KiB
dstask-import-0.27-r4.apk
3.4 MiB
dstask-zsh-completion-0.27-r4.apk
1.4 KiB
dublin-traceroute-0.4.2-r4.apk
48 KiB
dublin-traceroute-contrib-0.4.2-r4.apk
2.6 KiB
dublin-traceroute-dev-0.4.2-r4.apk
6.6 KiB
dublin-traceroute-doc-0.4.2-r4.apk
2.0 KiB
duc-1.4.5-r0.apk
88 KiB
duc-doc-1.4.5-r0.apk
8.8 KiB
duf-0.9.1-r2.apk
1.2 MiB
duf-doc-0.9.1-r2.apk
4.5 KiB
dulcepan-1.0.2-r0.apk
21 KiB
dum-0.1.20-r1.apk
380 KiB
dune-deps-1.3.0-r2.apk
833 KiB
dustracing2d-2.1.1-r1.apk
5.2 MiB
dvdbackup-0.4.2-r1.apk
17 KiB
dvdbackup-doc-0.4.2-r1.apk
7.6 KiB
dvdbackup-lang-0.4.2-r1.apk
1.4 KiB
dwl-0.7-r0.apk
29 KiB
dwl-doc-0.7-r0.apk
2.9 KiB
e16-1.0.30-r0.apk
804 KiB
e16-doc-1.0.30-r0.apk
27 KiB
e16-lang-1.0.30-r0.apk
379 KiB
eatmemory-0.1.6-r2.apk
4.3 KiB
ecasound-2.9.3-r4.apk
705 KiB
ecasound-dev-2.9.3-r4.apk
1.2 MiB
ecasound-doc-2.9.3-r4.apk
38 KiB
eccodes-2.44.0-r0.apk
11 MiB
eclib-20250627-r2.apk
382 KiB
eclib-dev-20250627-r2.apk
96 KiB
eclib-doc-20250627-r2.apk
28 KiB
eclib-libs-20250627-r2.apk
1.3 MiB
eclib-static-20250627-r2.apk
20 MiB
eclipse-ecj-4.37-r0.apk
2.5 MiB
ecos-2.0.10-r0.apk
43 KiB
ecos-dev-2.0.10-r0.apk
28 KiB
edit-1.2.1-r0.apk
266 KiB
edit-doc-1.2.1-r0.apk
2.0 KiB
edward-1.1.0-r0.apk
2.0 MiB
edward-doc-1.1.0-r0.apk
5.0 KiB
efl-1.28.1-r2.apk
34 MiB
efl-dev-1.28.1-r2.apk
1.8 MiB
efl-gdb-1.28.1-r2.apk
1.4 KiB
eiwd-3.10-r0.apk
913 KiB
eiwd-doc-3.10-r0.apk
20 KiB
eiwd-openrc-3.10-r0.apk
1.6 KiB
elastic-beats-9.2.0-r1.apk
1.0 KiB
elementary-calculator-8.0.1-r0.apk
72 KiB
elementary-calculator-lang-8.0.1-r0.apk
59 KiB
elementary-camera-8.0.2-r0.apk
88 KiB
elementary-camera-lang-8.0.2-r0.apk
35 KiB
elementary-dock-8.0.2-r0.apk
91 KiB
elementary-dock-lang-8.0.2-r0.apk
27 KiB
elementary-feedback-8.1.0-r0.apk
47 KiB
elementary-feedback-lang-8.1.0-r0.apk
48 KiB
elementary-icon-theme-8.1.0-r0.apk
5.0 MiB
elementary-music-8.0.0-r0.apk
75 KiB
elementary-music-lang-8.0.0-r0.apk
47 KiB
elementary-photos-8.0.1-r0.apk
1.2 MiB
elementary-photos-lang-8.0.1-r0.apk
1.0 MiB
elementary-settings-daemon-8.3.0-r0.apk
85 KiB
elementary-settings-daemon-lang-8.3.0-r0.apk
74 KiB
elementary-settings-daemon-openrc-8.3.0-r0.apk
1.5 KiB
elementary-sound-theme-1.1.0-r0.apk
83 KiB
elementary-theme-8.1.0-r0.apk
1.5 MiB
elementary-videos-8.0.2-r0.apk
118 KiB
elementary-videos-lang-8.0.2-r0.apk
83 KiB
elf_diff-0.7.1-r3.apk
108 KiB
elf_diff-pyc-0.7.1-r3.apk
108 KiB
emacs-ace-window-0.10.0_git20220911-r0.apk
22 KiB
emacs-avy-0.5.0_git20230420-r0.apk
43 KiB
emacs-avy-embark-collect-1.1-r0.apk
3.6 KiB
emacs-centaur-tabs-3.2_git20230601-r0.apk
55 KiB
emacs-closql-1.2.1_git20240712-r0.apk
14 KiB
emacs-company-1.0.2-r0.apk
159 KiB
emacs-company-wubi-0_git20161031-r0.apk
1.1 MiB
emacs-consult-1.4_git20240405-r0.apk
138 KiB
emacs-derl-0_git20231004-r1.apk
23 KiB
emacs-elfeed-3.4.2-r0.apk
90 KiB
emacs-emacsql-3.1.1_git20240714-r0.apk
22 KiB
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
5.8 KiB
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
5.6 KiB
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
18 KiB
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
4.0 KiB
emacs-embark-1.1-r0.apk
111 KiB
emacs-embark-consult-1.1-r0.apk
10 KiB
emacs-ement-0.16-r0.apk
290 KiB
emacs-epkg-3.3.3_git20240713-r0.apk
37 KiB
emacs-fossil-0_git20230504-r0.apk
14 KiB
emacs-gnosis-0.3.2-r0.apk
62 KiB
emacs-hackernews-0.7.0-r0.apk
15 KiB
emacs-helm-3.9.7_git20240329-r0.apk
815 KiB
emacs-hnreader-0_git20221116-r0.apk
9.5 KiB
emacs-hydra-0.15.0_git20220910-r0.apk
46 KiB
emacs-llama-1.0.3-r0.apk
12 KiB
emacs-lsp-booster-0.2.1-r0.apk
462 KiB
emacs-lsp-booster-doc-0.2.1-r0.apk
2.0 KiB
emacs-persist-0.6_git20240114-r0.apk
6.4 KiB
emacs-powerline-2.4_git20221110-r0.apk
29 KiB
emacs-sqlite3-api-0.18-r0.apk
18 KiB
emacs-svg-lib-0_git20240219-r0.apk
19 KiB
emacs-taxy-0.10.2-r0.apk
11 KiB
emacs-taxy-magit-section-0.14.3-r0.apk
17 KiB
emacs-total-recall-0_git20250426-r0.apk
17 KiB
emacs-total-recall-examples-0_git20250426-r0.apk
14 KiB
emmylua-check-0.17.0-r0.apk
2.0 MiB
emmylua-doc-cli-0.17.0-r0.apk
2.5 MiB
emmylua-ls-0.17.0-r0.apk
3.0 MiB
emmylua-ls-doc-0.17.0-r0.apk
36 KiB
empede-0.2.3-r0.apk
2.1 MiB
empede-doc-0.2.3-r0.apk
2.0 KiB
empede-openrc-0.2.3-r0.apk
1.7 KiB
emulationstation-2.11.2-r1.apk
1.3 MiB
emulationstation-theme-gbz35-2.11.2-r1.apk
3.3 MiB
endeavour-43.0-r2.apk
199 KiB
endeavour-dev-43.0-r2.apk
45 KiB
endeavour-doc-43.0-r2.apk
68 KiB
endeavour-lang-43.0-r2.apk
203 KiB
endlessh-1.1-r1.apk
9.5 KiB
endlessh-doc-1.1-r1.apk
2.4 KiB
enjoy-0.3-r1.apk
12 KiB
enlighten-0.9.2-r1.apk
7.9 KiB
enlighten-doc-0.9.2-r1.apk
3.2 KiB
envconsul-0.13.4-r1.apk
4.8 MiB
envsubst-0.1-r1.apk
5.1 KiB
epic6-0_git20250821-r0.apk
383 KiB
epic6-doc-0_git20250821-r0.apk
17 KiB
epic6-script-0_git20250821-r0.apk
149 KiB
epoch-1.3.0-r2.apk
54 KiB
epr-2.4.15-r1.apk
15 KiB
epr-pyc-2.4.15-r1.apk
24 KiB
ergo-ldap-0.0.1-r22.apk
2.1 MiB
ergo-ldap-doc-0.0.1-r22.apk
2.0 KiB
errands-46.2.10-r0.apk
84 KiB
errands-lang-46.2.10-r0.apk
78 KiB
espeakup-0.90-r2.apk
12 KiB
espeakup-openrc-0.90-r2.apk
1.6 KiB
esptool-4.8.1-r0.apk
424 KiB
esptool-pyc-4.8.1-r0.apk
549 KiB
ettercap-0.8.3.1-r3.apk
611 KiB
ettercap-doc-0.8.3.1-r3.apk
45 KiB
eva-0.3.1-r2.apk
659 KiB
evolution-etesync-1.1.2-r0.apk
67 KiB
evolution-etesync-lang-1.1.2-r0.apk
24 KiB
evolution-on-3.24.4-r1.apk
11 KiB
eww-0.6.0-r0.apk
3.2 MiB
exabgp-4.2.24-r1.apk
385 KiB
exabgp-doc-4.2.24-r1.apk
7.8 KiB
exabgp-openrc-4.2.24-r1.apk
2.0 KiB
exabgp-pyc-4.2.24-r1.apk
778 KiB
exercism-3.2.0-r18.apk
4.0 MiB
exercism-bash-completion-3.2.0-r18.apk
1.7 KiB
exercism-fish-completion-3.2.0-r18.apk
2.1 KiB
exercism-zsh-completion-3.2.0-r18.apk
1.9 KiB
extrace-0.9-r0.apk
11 KiB
extrace-doc-0.9-r0.apk
3.3 KiB
extremetuxracer-0.8.3-r0.apk
40 MiB
extremetuxracer-doc-0.8.3-r0.apk
6.4 KiB
extundelete-0.2.4-r1.apk
40 KiB
fabric-3.2.2-r1.apk
54 KiB
fabric-pyc-3.2.2-r1.apk
60 KiB
faircamp-1.7.0-r0.apk
2.7 MiB
fakeroot-tcp-1.32.1-r1.apk
30 KiB
fast-double-parser-0.8.1-r0.apk
25 KiB
fastd-23-r0.apk
73 KiB
fastd-doc-23-r0.apk
3.0 KiB
fastd-openrc-23-r0.apk
1.4 KiB
fatback-1.3-r2.apk
29 KiB
fatback-doc-1.3-r2.apk
16 KiB
fathom-1.3.1-r18.apk
4.7 MiB
fatrace-0.18.0-r0.apk
11 KiB
fatrace-doc-0.18.0-r0.apk
3.1 KiB
fatresize-1.1.0-r1.apk
8.6 KiB
fatresize-doc-1.1.0-r1.apk
15 KiB
faultstat-0.01.11-r0.apk
14 KiB
faultstat-bash-completion-0.01.11-r0.apk
2.0 KiB
faultstat-doc-0.01.11-r0.apk
2.8 KiB
faust-2.79.3-r0.apk
7.9 MiB
faust-dev-2.79.3-r0.apk
1.4 MiB
faust-doc-2.79.3-r0.apk
17 MiB
faust-static-2.79.3-r0.apk
563 KiB
faust-tools-2.79.3-r0.apk
123 KiB
faust-vim-2.79.3-r0.apk
2.3 KiB
fava-1.28-r0.apk
1.1 MiB
fava-pyc-1.28-r0.apk
164 KiB
fbcur-1.0.1-r1.apk
6.6 KiB
fbcur-doc-1.0.1-r1.apk
1.9 KiB
fbdebug-1.0.1-r0.apk
5.5 KiB
fceux-2.6.6-r4.apk
2.9 MiB
fceux-doc-2.6.6-r4.apk
104 KiB
fdm-materials-5.2.2-r1.apk
59 KiB
featherpad-1.6.2-r0.apk
771 KiB
featherpad-lang-1.6.2-r0.apk
485 KiB
felix-2.16.1-r0.apk
711 KiB
femto-2.24.1-r0.apk
66 KiB
femto-doc-2.24.1-r0.apk
47 KiB
fff-2.2-r0.apk
11 KiB
fff-doc-2.2-r0.apk
9.0 KiB
fflas-ffpack-2.5.0-r3.apk
345 KiB
ffmpeg4-4.4.6-r0.apk
42 KiB
ffmpeg4-dev-4.4.6-r0.apk
280 KiB
ffmpeg4-libavcodec-4.4.6-r0.apk
7.4 MiB
ffmpeg4-libavdevice-4.4.6-r0.apk
54 KiB
ffmpeg4-libavfilter-4.4.6-r0.apk
1.8 MiB
ffmpeg4-libavformat-4.4.6-r0.apk
1.4 MiB
ffmpeg4-libavutil-4.4.6-r0.apk
338 KiB
ffmpeg4-libpostproc-4.4.6-r0.apk
64 KiB
ffmpeg4-libswresample-4.4.6-r0.apk
47 KiB
ffmpeg4-libswscale-4.4.6-r0.apk
203 KiB
ffms2-5.0-r2.apk
75 KiB
ffms2-dev-5.0-r2.apk
7.3 KiB
ffms2-doc-5.0-r2.apk
30 KiB
ffsend-0.2.76-r4.apk
1.6 MiB
ffsend-bash-completion-0.2.76-r4.apk
3.4 KiB
ffsend-fish-completion-0.2.76-r4.apk
3.3 KiB
ffsend-zsh-completion-0.2.76-r4.apk
4.3 KiB
fheroes2-1.1.13-r0.apk
1.8 MiB
fheroes2-lang-1.1.13-r0.apk
1.8 MiB
fildesh-0.2.0-r0.apk
72 KiB
fildesh-doc-0.2.0-r0.apk
1.8 KiB
fildesh-vim-0.2.0-r0.apk
3.3 KiB
filebeat-9.2.0-r1.apk
35 MiB
filebeat-openrc-9.2.0-r1.apk
1.8 KiB
filebrowser-2.27.0-r16.apk
7.2 MiB
filebrowser-openrc-2.27.0-r16.apk
1.6 KiB
fileshelter-6.2.0-r4.apk
323 KiB
fileshelter-openrc-6.2.0-r4.apk
1.4 KiB
findtow-0.1-r0.apk
5.1 KiB
finger-0.5-r0.apk
8.7 KiB
finger-doc-0.5-r0.apk
3.5 KiB
firehol-3.1.7-r2.apk
84 KiB
firehol-doc-3.1.7-r2.apk
674 KiB
firehol-openrc-3.1.7-r2.apk
1.8 KiB
flamelens-0.3.1-r0.apk
1.2 MiB
flamelens-doc-0.3.1-r0.apk
3.4 KiB
flang-next-22.0.0_pre20251226-r0.apk
26 MiB
flang-next-dev-22.0.0_pre20251226-r0.apk
1.1 MiB
flang-next-static-22.0.0_pre20251226-r0.apk
47 MiB
flann-1.9.2-r1.apk
1.7 MiB
flann-dev-1.9.2-r1.apk
1014 KiB
flann-doc-1.9.2-r1.apk
2.3 KiB
flare-engine-1.14-r1.apk
4.6 MiB
flare-engine-doc-1.14-r1.apk
2.2 KiB
flare-game-1.14-r0.apk
1.9 KiB
flatseal-2.3.1-r0.apk
43 KiB
flatseal-doc-2.3.1-r0.apk
8.2 KiB
flatseal-lang-2.3.1-r0.apk
79 KiB
flauschige-uhr-0.1-r1.apk
4.3 KiB
flawz-0.3.0-r0.apk
1.3 MiB
flawz-bash-completion-0.3.0-r0.apk
1.9 KiB
flawz-doc-0.3.0-r0.apk
5.7 KiB
flawz-fish-completion-0.3.0-r0.apk
1.7 KiB
flawz-zsh-completion-0.3.0-r0.apk
2.0 KiB
flightgear-2024.1.1-r0.apk
11 MiB
flightgear-bash-completion-2024.1.1-r0.apk
5.2 KiB
flightgear-dbg-2024.1.1-r0.apk
22 MiB
flightgear-doc-2024.1.1-r0.apk
58 KiB
flightgear-zsh-completion-2024.1.1-r0.apk
7.1 KiB
flint-3.4.0-r0.apk
5.0 MiB
flint-dev-3.4.0-r0.apk
316 KiB
flowd-0.9.1-r11.apk
74 KiB
flowd-dev-0.9.1-r11.apk
7.8 KiB
flowd-doc-0.9.1-r11.apk
9.8 KiB
flowd-openrc-0.9.1-r11.apk
1.6 KiB
fnf-0.1-r0.apk
20 KiB
fnf-doc-0.1-r0.apk
4.3 KiB
foma-0.10.0_git20240712-r0.apk
346 KiB
foma-dev-0.10.0_git20240712-r0.apk
8.2 KiB
font-andika-six-6.210-r0.apk
1.5 MiB
font-anonymous-pro-1.002-r2.apk
264 KiB
font-aref-ruqaa-1.006-r0.apk
357 KiB
font-babelstone-han-15.1.3-r0.apk
18 MiB
font-cascadia-2407.24-r1.apk
1.0 KiB
font-cascadia-code-2407.24-r1.apk
526 KiB
font-cascadia-mono-2407.24-r1.apk
507 KiB
font-chivo-0_git20221110-r0.apk
792 KiB
font-chivo-mono-0_git20221110-r0.apk
626 KiB
font-comic-neue-2.51-r0.apk
249 KiB
font-comic-neue-doc-2.51-r0.apk
1004 KiB
font-commit-mono-1.143-r0.apk
251 KiB
font-cousine-0_git20210228-r0.apk
110 KiB
font-fantasque-sans-1.8.0-r0.apk
1.2 KiB
font-fantasque-sans-doc-1.8.0-r0.apk
5.5 KiB
font-fantasque-sans-largelineheight-1.8.0-r0.apk
316 KiB
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
316 KiB
font-fantasque-sans-noloopk-1.8.0-r0.apk
316 KiB
font-fantasque-sans-normal-1.8.0-r0.apk
316 KiB
font-fira-code-6.2-r0.apk
836 KiB
font-fira-code-vf-6.2-r0.apk
145 KiB
font-firamath-0.3.4-r0.apk
118 KiB
font-fontawesome-4-4.7.0-r3.apk
205 KiB
font-hanazono-20170904-r2.apk
29 MiB
font-intel-one-mono-1.3.0-r0.apk
281 KiB
font-katex-0.16.2-r0.apk
852 KiB
font-material-icons-4.0.0-r0.apk
652 KiB
font-monaspace-1.101-r0.apk
1.2 KiB
font-monaspace-argon-1.101-r0.apk
2.2 MiB
font-monaspace-krypton-1.101-r0.apk
2.1 MiB
font-monaspace-neon-1.101-r0.apk
2.1 MiB
font-monaspace-radon-1.101-r0.apk
2.7 MiB
font-monaspace-xenon-1.101-r0.apk
2.3 MiB
font-monocraft-4.0-r0.apk
676 KiB
font-openmoji-16.0.0-r0.apk
1.4 MiB
font-siji-20190218_git-r2.apk
24 KiB
font-stix-otf-2.13-r0.apk
2.0 MiB
font-stix-ttf-2.13-r0.apk
430 KiB
font-tamzen-1.11.5-r1.apk
62 KiB
font-terminus-ttf-4.49.3-r0.apk
538 KiB
font-tinos-0_git20210228-r0.apk
198 KiB
font-tiresias-0_git20200704-r0.apk
568 KiB
font-tiresias-doc-0_git20200704-r0.apk
58 KiB
foolsm-1.0.21-r0.apk
34 KiB
foolsm-doc-1.0.21-r0.apk
3.9 KiB
foolsm-openrc-1.0.21-r0.apk
1.5 KiB
formiko-1.5.0-r1.apk
107 KiB
formiko-doc-1.5.0-r1.apk
8.3 KiB
formiko-pyc-1.5.0-r1.apk
61 KiB
fpc-stage0-3.2.2-r3.apk
6.8 MiB
fplll-5.5.0-r0.apk
56 KiB
fplll-dev-5.5.0-r0.apk
78 KiB
fplll-libs-5.5.0-r0.apk
7.8 MiB
fplll-static-5.5.0-r0.apk
8.7 MiB
fplll-strategies-5.5.0-r0.apk
1.7 MiB
fpp-0.9.5-r0.apk
29 KiB
fpp-doc-0.9.5-r0.apk
5.6 KiB
freealut-1.1.0-r1.apk
19 KiB
freealut-dev-1.1.0-r1.apk
25 KiB
freediameter-1.5.0-r1.apk
9.0 KiB
freediameter-dev-1.5.0-r1.apk
54 KiB
freediameter-extensions-1.5.0-r1.apk
363 KiB
freediameter-libfdcore-1.5.0-r1.apk
149 KiB
freediameter-libfdproto-1.5.0-r1.apk
81 KiB
frescobaldi-3.3.0-r1.apk
3.5 MiB
frescobaldi-doc-3.3.0-r1.apk
2.2 KiB
frescobaldi-pyc-3.3.0-r1.apk
1.2 MiB
freshrss-1.28.0-r0.apk
1.7 MiB
freshrss-doc-1.28.0-r0.apk
912 KiB
freshrss-lang-1.28.0-r0.apk
507 KiB
freshrss-mysql-1.28.0-r0.apk
1.0 KiB
freshrss-openrc-1.28.0-r0.apk
2.2 KiB
freshrss-pgsql-1.28.0-r0.apk
1.0 KiB
freshrss-sqlite-1.28.0-r0.apk
1.0 KiB
freshrss-themes-1.28.0-r0.apk
1.5 MiB
fulcrum-1.9.8-r1.apk
887 KiB
fulcrum-admin-1.9.8-r1.apk
7.7 KiB
fulcrum-doc-1.9.8-r1.apk
21 KiB
fungw-1.2.2-r0.apk
14 KiB
fungw-c-1.2.2-r0.apk
8.3 KiB
fungw-cli-1.2.2-r0.apk
24 KiB
fungw-dev-1.2.2-r0.apk
7.6 KiB
fungw-doc-1.2.2-r0.apk
13 KiB
fungw-duktape-1.2.2-r0.apk
18 KiB
fungw-fawk-1.2.2-r0.apk
111 KiB
fungw-lua-1.2.2-r0.apk
16 KiB
fungw-mujs-1.2.2-r0.apk
18 KiB
fungw-perl-1.2.2-r0.apk
50 KiB
fungw-python3-1.2.2-r0.apk
28 KiB
fungw-tcl-1.2.2-r0.apk
14 KiB
fusee-nano-0.5.3-r1.apk
21 KiB
fusee-nano-udev-0.5.3-r1.apk
1.4 KiB
fuseiso-20070708-r0.apk
16 KiB
fuseiso-doc-20070708-r0.apk
2.3 KiB
fusesoc-2.3-r0.apk
46 KiB
fusesoc-pyc-2.3-r0.apk
89 KiB
fuzzylite-6.0-r2.apk
4.3 KiB
fuzzylite-dev-6.0-r2.apk
68 KiB
fuzzylite-doc-6.0-r2.apk
1.8 KiB
fuzzylite-libs-6.0-r2.apk
354 KiB
fxfloorboard-katana-mk2-20240515-r1.apk
5.5 MiB
fxfloorboard-katana-mk2-doc-20240515-r1.apk
1.1 MiB
fxload-2008.10.13-r0.apk
9.2 KiB
fynedesk-0.4.0-r2.apk
13 MiB
gambit-4.9.5-r1.apk
7.3 MiB
gambit-dev-4.9.5-r1.apk
7.2 MiB
gambit-doc-4.9.5-r1.apk
4.1 KiB
game-devices-udev-0.25-r0.apk
6.6 KiB
gamemode-1.8.2-r0.apk
77 KiB
gamemode-dev-1.8.2-r0.apk
4.8 KiB
gamemode-doc-1.8.2-r0.apk
7.3 KiB
gammastep-2.0.9-r3.apk
90 KiB
gammastep-doc-2.0.9-r3.apk
14 KiB
gammastep-lang-2.0.9-r3.apk
77 KiB
gammastep-pyc-2.0.9-r3.apk
17 KiB
gatling-0.16-r6.apk
149 KiB
gatling-doc-0.16-r6.apk
8.8 KiB
gatling-openrc-0.16-r6.apk
2.5 KiB
gaupol-1.12-r2.apk
276 KiB
gaupol-doc-1.12-r2.apk
2.1 KiB
gaupol-lang-1.12-r2.apk
276 KiB
gaupol-pyc-1.12-r2.apk
419 KiB
gb-0.4.4-r36.apk
6.9 MiB
gcli-2.9.1-r0.apk
135 KiB
gcli-doc-2.9.1-r0.apk
37 KiB
gdcm-3.2.2-r3.apk
422 KiB
gdcm-dev-3.2.2-r3.apk
450 KiB
gearman-dev-1.1.22-r0.apk
1.0 MiB
gearman-libs-1.1.22-r0.apk
90 KiB
gearmand-1.1.22-r0.apk
189 KiB
gearmand-doc-1.1.22-r0.apk
189 KiB
gearmand-openrc-1.1.22-r0.apk
1.5 KiB
gede-2.22.1-r0.apk
440 KiB
genact-1.4.2-r0.apk
1.4 MiB
geoclue-stumbler-1.1-r0.apk
45 KiB
geodns-3.3.0-r18.apk
4.7 MiB
geodns-logs-3.3.0-r18.apk
4.3 MiB
geodns-openrc-3.3.0-r18.apk
1.5 KiB
geomyidae-0.34-r2.apk
16 KiB
geomyidae-doc-0.34-r2.apk
7.4 KiB
geomyidae-openrc-0.34-r2.apk
1.7 KiB
geonames-0.3.1-r2.apk
827 KiB
geonames-dev-0.3.1-r2.apk
2.8 KiB
geonames-doc-0.3.1-r2.apk
12 KiB
geonames-lang-0.3.1-r2.apk
4.6 MiB
getmail6-6.19.10-r0.apk
70 KiB
getmail6-doc-6.19.10-r0.apk
138 KiB
getmail6-pyc-6.19.10-r0.apk
103 KiB
getssl-2.48-r0.apk
82 KiB
getting-things-gnome-0.6-r4.apk
715 KiB
getting-things-gnome-doc-0.6-r4.apk
497 KiB
getting-things-gnome-lang-0.6-r4.apk
228 KiB
gf2x-1.3.0-r1.apk
42 KiB
gf2x-dev-1.3.0-r1.apk
65 KiB
gfan-0.6.2-r1.apk
1.8 MiB
ghc-filesystem-1.5.14-r0.apk
38 KiB
ghc-release-cabal-3.17.0.0_pre20251116-r0.apk
11 MiB
ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk
31 KiB
ghq-1.8.0-r6.apk
3.6 MiB
ghq-bash-completion-1.8.0-r6.apk
1.5 KiB
ghq-doc-1.8.0-r6.apk
5.2 KiB
ghq-fish-completion-1.8.0-r6.apk
2.3 KiB
ghq-zsh-completion-1.8.0-r6.apk
2.2 KiB
gimp-plugin-gmic-3.6.0-r2.apk
1.5 MiB
ginger-2.4.0-r7.apk
257 KiB
ginger-lang-2.4.0-r7.apk
125 KiB
ginger-pyc-2.4.0-r7.apk
207 KiB
gingerbase-2.3.0-r7.apk
195 KiB
gingerbase-lang-2.3.0-r7.apk
53 KiB
gingerbase-pyc-2.3.0-r7.apk
61 KiB
git-bug-0.8.1-r6.apk
9.6 MiB
git-bug-bash-completion-0.8.1-r6.apk
5.0 KiB
git-bug-doc-0.8.1-r6.apk
17 KiB
git-bug-fish-completion-0.8.1-r6.apk
4.1 KiB
git-bug-zsh-completion-0.8.1-r6.apk
3.8 KiB
git-extras-7.4.0-r0.apk
57 KiB
git-extras-bash-completion-7.4.0-r0.apk
2.6 KiB
git-extras-doc-7.4.0-r0.apk
65 KiB
git-graph-0.6.0-r0.apk
937 KiB
git-graph-doc-0.6.0-r0.apk
6.0 KiB
git-quick-stats-2.8.0-r0.apk
15 KiB
git-quick-stats-doc-2.8.0-r0.apk
3.2 KiB
git-revise-0.7.0-r5.apk
24 KiB
git-revise-doc-0.7.0-r5.apk
4.7 KiB
git-revise-pyc-0.7.0-r5.apk
42 KiB
git-secret-0.5.0-r0.apk
14 KiB
git-secret-doc-0.5.0-r0.apk
17 KiB
git2json-0.2.3-r8.apk
7.2 KiB
git2json-pyc-0.2.3-r8.apk
5.4 KiB
gkrellm-2.3.11-r0.apk
362 KiB
gkrellm-dev-2.3.11-r0.apk
16 KiB
gkrellm-doc-2.3.11-r0.apk
18 KiB
gkrellm-lang-2.3.11-r0.apk
379 KiB
gkrellm-server-2.3.11-r0.apk
54 KiB
gl2ps-1.4.2-r0.apk
39 KiB
gl2ps-dev-1.4.2-r0.apk
4.1 KiB
gl2ps-doc-1.4.2-r0.apk
233 KiB
gl2ps-static-1.4.2-r0.apk
47 KiB
glfw-wayland-3.3.8-r3.apk
64 KiB
glfw-wayland-dbg-3.3.8-r3.apk
182 KiB
glfw-wayland-dev-3.3.8-r3.apk
45 KiB
gliderlabs-sigil-0.11.0-r10.apk
3.1 MiB
gliderlabs-sigil-doc-0.11.0-r10.apk
2.2 KiB
glmark2-2023.01-r1.apk
8.0 MiB
glmark2-doc-2023.01-r1.apk
12 KiB
gloox-1.0.28-r0.apk
379 KiB
gloox-dev-1.0.28-r0.apk
950 KiB
glow-2.1.1-r5.apk
5.7 MiB
glow-bash-completion-2.1.1-r5.apk
5.8 KiB
glow-doc-2.1.1-r5.apk
2.9 KiB
glow-fish-completion-2.1.1-r5.apk
4.1 KiB
glow-zsh-completion-2.1.1-r5.apk
3.8 KiB
glslviewer-3.2.4-r2.apk
1.9 MiB
gmcapsule-0.9.8-r0.apk
36 KiB
gmcapsule-openrc-0.9.8-r0.apk
1.7 KiB
gmcapsule-pyc-0.9.8-r0.apk
60 KiB
gmenuharness-0.1.4-r2.apk
40 KiB
gmenuharness-dev-0.1.4-r2.apk
3.8 KiB
gmic-3.6.0-r2.apk
12 MiB
gmic-bash-completion-3.6.0-r2.apk
28 KiB
gmic-dev-3.6.0-r2.apk
7.4 KiB
gmic-doc-3.6.0-r2.apk
223 KiB
gmic-libs-3.6.0-r2.apk
3.1 MiB
gmic-qt-3.6.0-r2.apk
1.8 MiB
gmid-2.1.1-r0.apk
237 KiB
gmid-doc-2.1.1-r0.apk
14 KiB
gmid-openrc-2.1.1-r0.apk
1.9 KiB
gmsh-4.15.0-r0.apk
9.6 MiB
gmsh-dbg-4.15.0-r0.apk
153 MiB
gmsh-doc-4.15.0-r0.apk
1.9 MiB
gmsh-py-4.15.0-r0.apk
6.5 KiB
gnome-common-3.18.0-r3.apk
11 KiB
gnome-mahjongg-49.0.1-r0.apk
2.4 MiB
gnome-mahjongg-doc-49.0.1-r0.apk
1.9 KiB
gnome-mahjongg-lang-49.0.1-r0.apk
170 KiB
gnome-metronome-1.3.0-r0.apk
472 KiB
gnome-metronome-lang-1.3.0-r0.apk
24 KiB
gnome-mimeapps-0.1-r1.apk
3.4 KiB
gnucobol-3.2-r0.apk
817 KiB
gnucobol-doc-3.2-r0.apk
70 KiB
gnucobol-lang-3.2-r0.apk
316 KiB
go-away-0.7.0-r1.apk
7.7 MiB
go-away-openrc-0.7.0-r1.apk
2.0 KiB
go-jsonnet-0.21.0-r5.apk
6.5 MiB
go-mtpfs-1.0.0-r33.apk
1.2 MiB
go-passbolt-cli-0.3.2-r8.apk
5.9 MiB
go-tools-0.40.0-r0.apk
44 MiB
gobuster-3.8.0-r3.apk
3.5 MiB
godini-1.0.0-r5.apk
1.5 MiB
godini-doc-1.0.0-r5.apk
14 KiB
gomp-1.0.0-r17.apk
3.5 MiB
goomwwm-1.0.0-r5.apk
48 KiB
goreman-0.3.15-r18.apk
2.4 MiB
goshs-1.1.3-r0.apk
6.1 MiB
goshs-doc-1.1.3-r0.apk
2.0 KiB
gotify-cli-2.3.2-r10.apk
4.1 MiB
goxel-0.15.1-r0.apk
1.9 MiB
gprbuild-25.0.0-r0.apk
13 MiB
gpscorrelate-2.3-r0.apk
52 KiB
gpscorrelate-cli-2.3-r0.apk
28 KiB
gpscorrelate-doc-2.3-r0.apk
285 KiB
gpscorrelate-lang-2.3-r0.apk
17 KiB
gr-satellites-5.5.0-r6.apk
520 KiB
gr-satellites-dev-5.5.0-r6.apk
12 KiB
gr-satellites-doc-5.5.0-r6.apk
4.3 KiB
gradia-1.11.1-r0.apk
788 KiB
gradia-dev-1.11.1-r0.apk
2.3 KiB
gradia-lang-1.11.1-r0.apk
64 KiB
grcov-0.8.20-r0.apk
2.0 MiB
greetd-mini-wl-greeter-0_git20230821-r0.apk
20 KiB
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
1.9 KiB
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
3.0 KiB
grip-4.2.4-r0.apk
383 KiB
grip-doc-4.2.4-r0.apk
5.9 KiB
grip-lang-4.2.4-r0.apk
144 KiB
grpcui-1.5.1-r4.apk
8.4 MiB
grpcurl-1.9.3-r8.apk
7.9 MiB
gsettings-qt-1.1.0-r0.apk
31 KiB
gsettings-qt-dev-1.1.0-r0.apk
3.3 KiB
gsimplecal-2.5.2-r0.apk
17 KiB
gsimplecal-doc-2.5.2-r0.apk
5.5 KiB
gssdp-1.6.4-r1.apk
48 KiB
gssdp-dev-1.6.4-r1.apk
15 KiB
gst-audio-thumbnailer-1.0_alpha1-r2.apk
286 KiB
gst-thumbnailers-1.0_alpha1-r2.apk
1.0 KiB
gst-video-thumbnailer-1.0_alpha1-r2.apk
303 KiB
gstreamermm-1.10.0-r6.apk
512 KiB
gstreamermm-dev-1.10.0-r6.apk
310 KiB
gtimelog-0.12_git20251114-r0.apk
219 KiB
gtimelog-pyc-0.12_git20251114-r0.apk
102 KiB
gtk-session-lock-0.2.0-r0.apk
36 KiB
gtk-session-lock-dev-0.2.0-r0.apk
5.0 KiB
gtkhash-1.5-r0.apk
87 KiB
gtkhash-lang-1.5-r0.apk
45 KiB
gtklock-4.0.0-r0.apk
20 KiB
gtklock-doc-4.0.0-r0.apk
2.7 KiB
gtkwave-3.3.120-r0.apk
2.6 MiB
gtkwave-doc-3.3.120-r0.apk
27 KiB
gtranslator-49.0-r0.apk
145 KiB
gtranslator-doc-49.0-r0.apk
637 KiB
gtranslator-lang-49.0-r0.apk
593 KiB
gtypist-2.10.1-r0.apk
636 KiB
gtypist-doc-2.10.1-r0.apk
104 KiB
gtypist-lang-2.10.1-r0.apk
26 KiB
guake-3.10.1-r0.apk
304 KiB
guake-lang-3.10.1-r0.apk
194 KiB
guake-pyc-3.10.1-r0.apk
186 KiB
guestfs-tools-1.56.1-r0.apk
288 KiB
guetzli-0_git20191025-r2.apk
183 KiB
guetzli-dev-0_git20191025-r2.apk
2.4 MiB
gufw-24.04-r3.apk
596 KiB
gufw-doc-24.04-r3.apk
4.2 KiB
gufw-lang-24.04-r3.apk
854 KiB
gufw-pyc-24.04-r3.apk
64 KiB
gupnp-1.6.9-r1.apk
91 KiB
gupnp-av-0.14.4-r1.apk
82 KiB
gupnp-av-dev-0.14.4-r1.apk
41 KiB
gupnp-dev-1.6.9-r1.apk
50 KiB
gupnp-dlna-0.12.0-r1.apk
72 KiB
gupnp-dlna-dev-0.12.0-r1.apk
24 KiB
gupnp-doc-1.6.9-r1.apk
3.5 KiB
gx-0.14.3-r35.apk
4.6 MiB
gx-doc-0.14.3-r35.apk
2.0 KiB
gx-go-1.9.0-r37.apk
4.8 MiB
gx-go-doc-1.9.0-r37.apk
2.0 KiB
gyosu-0.2.0-r3.apk
1.8 MiB
h4h5tools-2.2.5-r4.apk
102 KiB
h4h5tools-dev-2.2.5-r4.apk
8.5 KiB
h4h5tools-doc-2.2.5-r4.apk
2.5 KiB
h4h5tools-static-2.2.5-r4.apk
114 KiB
habitctl-0.1.0-r2.apk
347 KiB
halp-0.2.0-r0.apk
957 KiB
halp-bash-completion-0.2.0-r0.apk
1.9 KiB
halp-doc-0.2.0-r0.apk
6.6 KiB
halp-fish-completion-0.2.0-r0.apk
1.7 KiB
halp-zsh-completion-0.2.0-r0.apk
2.1 KiB
hamster-time-tracker-3.0.3-r2.apk
156 KiB
hamster-time-tracker-bash-completion-3.0.3-r2.apk
1.7 KiB
hamster-time-tracker-doc-3.0.3-r2.apk
116 KiB
hamster-time-tracker-lang-3.0.3-r2.apk
205 KiB
hamster-time-tracker-pyc-3.0.3-r2.apk
358 KiB
handlebars-1.0.0-r1.apk
108 KiB
handlebars-dev-1.0.0-r1.apk
32 KiB
handlebars-utils-1.0.0-r1.apk
9.7 KiB
haproxy-dataplaneapi2-2.9.18-r2.apk
9.9 MiB
haproxy-dataplaneapi2-openrc-2.9.18-r2.apk
1.9 KiB
hardinfo2-2.2.13-r0.apk
3.3 MiB
hardinfo2-doc-2.2.13-r0.apk
2.7 KiB
hardinfo2-lang-2.2.13-r0.apk
292 KiB
hardinfo2-openrc-2.2.13-r0.apk
1.7 KiB
harminv-1.4.2-r1.apk
8.1 KiB
harminv-dev-1.4.2-r1.apk
2.9 KiB
harminv-doc-1.4.2-r1.apk
5.4 KiB
harminv-libs-1.4.2-r1.apk
13 KiB
hashcat-6.2.6-r0.apk
69 MiB
hashcat-doc-6.2.6-r0.apk
2.1 MiB
hatch-1.16.1-r0.apk
114 KiB
hatch-pyc-1.16.1-r0.apk
246 KiB
hatop-0.8.2-r0.apk
18 KiB
hatop-doc-0.8.2-r0.apk
3.0 KiB
haxe-4.3.3-r2.apk
10 MiB
haxe-doc-4.3.3-r2.apk
7.5 KiB
hctl-0.2.7-r0.apk
1.4 MiB
hddfancontrol-1.6.2-r0.apk
33 KiB
hddfancontrol-openrc-1.6.2-r0.apk
1.9 KiB
hddfancontrol-pyc-1.6.2-r0.apk
34 KiB
hdf4-4.2.15-r2.apk
254 KiB
hdf4-dev-4.2.15-r2.apk
101 KiB
hdf4-doc-4.2.15-r2.apk
5.7 KiB
hdf4-tools-4.2.15-r2.apk
219 KiB
heh-0.6.2-r0.apk
516 KiB
heh-doc-0.6.2-r0.apk
3.8 KiB
heisenbridge-1.15.4-r0.apk
67 KiB
heisenbridge-pyc-1.15.4-r0.apk
155 KiB
helm-diff-3.13.1-r1.apk
21 MiB
helm-ls-0.5.4-r1.apk
18 MiB
helm-ls-doc-0.5.4-r1.apk
2.0 KiB
helm-mapkubeapis-0.6.1-r1.apk
20 MiB
helm-unittest-1.0.3-r1.apk
11 MiB
helmfile-1.1.8-r1.apk
57 MiB
helmfile-bash-completion-1.1.8-r1.apk
5.9 KiB
helmfile-doc-1.1.8-r1.apk
2.0 KiB
helmfile-fish-completion-1.1.8-r1.apk
4.1 KiB
helmfile-zsh-completion-1.1.8-r1.apk
3.8 KiB
helvum-0.5.1-r0.apk
341 KiB
herbe-1.0.0-r0.apk
6.0 KiB
hex-0.6.0-r0.apk
324 KiB
hexedit-1.6_git20230905-r0.apk
17 KiB
hexedit-doc-1.6_git20230905-r0.apk
5.3 KiB
hfst-3.16.2-r0.apk
1.5 MiB
hfst-dev-3.16.2-r0.apk
209 KiB
hfst-doc-3.16.2-r0.apk
69 KiB
hfst-libs-3.16.2-r0.apk
2.0 MiB
hiawatha-11.6-r1.apk
227 KiB
hiawatha-doc-11.6-r1.apk
21 KiB
hiawatha-letsencrypt-11.6-r1.apk
17 KiB
hiawatha-openrc-11.6-r1.apk
1.4 KiB
hidrd-0.2.0_git20190603-r1.apk
80 KiB
hidrd-dev-0.2.0_git20190603-r1.apk
132 KiB
highctidh-1.0.2024092800-r0.apk
394 KiB
highctidh-dev-1.0.2024092800-r0.apk
421 KiB
highfive-2.10.1-r0.apk
75 KiB
hilbish-2.3.4-r10.apk
3.5 MiB
hilbish-doc-2.3.4-r10.apk
25 KiB
himitsu-secret-service-0.1_git20250705-r1.apk
16 KiB
himitsu-secret-service-doc-0.1_git20250705-r1.apk
3.7 KiB
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
28 KiB
hiprompt-gtk-py-0.8.0-r1.apk
7.8 KiB
hitide-0.15.0-r0.apk
2.0 MiB
hitide-openrc-0.15.0-r0.apk
1.9 KiB
homebank-5.9.5-r0.apk
2.0 MiB
homebank-lang-5.9.5-r0.apk
942 KiB
horizon-0.9.6-r9.apk
211 KiB
horizon-dbg-0.9.6-r9.apk
4.0 MiB
horizon-dev-0.9.6-r9.apk
4.6 KiB
horizon-doc-0.9.6-r9.apk
21 KiB
horizon-image-0.9.6-r9.apk
69 KiB
horizon-tools-0.9.6-r9.apk
84 KiB
hping3-20051105-r4.apk
69 KiB
hping3-doc-20051105-r4.apk
17 KiB
hsetroot-1.0.5-r1.apk
12 KiB
hstdb-2.1.0-r2.apk
924 KiB
htmlcxx-0.87-r1.apk
66 KiB
htmlcxx-dev-0.87-r1.apk
21 KiB
httpie-oauth-1.0.2-r9.apk
3.1 KiB
httpie-oauth-pyc-1.0.2-r9.apk
2.0 KiB
httplz-2.2.0-r0.apk
1.3 MiB
httplz-doc-2.2.0-r0.apk
2.0 KiB
httpx-1.7.4-r0.apk
17 MiB
httpx-doc-1.7.4-r0.apk
2.0 KiB
httrack-3.49.2-r5.apk
760 KiB
httrack-doc-3.49.2-r5.apk
528 KiB
hub-2.14.2-r36.apk
2.8 MiB
hub-bash-completion-2.14.2-r36.apk
4.3 KiB
hub-doc-2.14.2-r36.apk
42 KiB
hub-fish-completion-2.14.2-r36.apk
3.0 KiB
hub-zsh-completion-2.14.2-r36.apk
3.5 KiB
hubble-cli-0.13.6-r10.apk
17 MiB
hubble-cli-bash-completion-0.13.6-r10.apk
4.8 KiB
hubble-cli-fish-completion-0.13.6-r10.apk
4.1 KiB
hubble-cli-zsh-completion-0.13.6-r10.apk
3.8 KiB
hunspell-ca-es-3.0.7-r0.apk
731 KiB
hurl-7.1.0-r0.apk
2.5 MiB
hurl-bash-completion-7.1.0-r0.apk
2.1 KiB
hurl-doc-7.1.0-r0.apk
8.9 KiB
hurl-fish-completion-7.1.0-r0.apk
3.4 KiB
hurl-zsh-completion-7.1.0-r0.apk
3.9 KiB
hw-probe-1.6.6-r2.apk
124 KiB
hwatch-0.3.11-r0.apk
1.0 MiB
hwatch-doc-0.3.11-r0.apk
2.8 KiB
hwatch-fish-completion-0.3.11-r0.apk
1.6 KiB
hwatch-zsh-completion-0.3.11-r0.apk
1.7 KiB
hx-1.0.15-r0.apk
15 KiB
hx-doc-1.0.15-r0.apk
4.5 KiB
hyfetch-2.0.5-r0.apk
911 KiB
hyfetch-bash-completion-2.0.5-r0.apk
3.1 KiB
hyfetch-doc-2.0.5-r0.apk
19 KiB
hyfetch-zsh-completion-2.0.5-r0.apk
2.3 KiB
hyperrogue-13.1i-r0.apk
84 MiB
hyperrogue-doc-13.1i-r0.apk
7.7 KiB
hypnotix-3.5-r0.apk
110 KiB
hypnotix-lang-3.5-r0.apk
72 KiB
hypridle-0.1.7-r1.apk
142 KiB
hypridle-doc-0.1.7-r1.apk
2.1 KiB
hypridle-openrc-0.1.7-r1.apk
1.4 KiB
hyprlock-0.9.2-r0.apk
474 KiB
hyprpicker-0.4.5-r1.apk
125 KiB
hyprpicker-doc-0.4.5-r1.apk
3.6 KiB
hyprsunset-0.3.3-r1.apk
148 KiB
hyprsunset-doc-0.3.3-r1.apk
2.2 KiB
hyprsunset-openrc-0.3.3-r1.apk
1.5 KiB
hyx-2024.02.29-r0.apk
18 KiB
hyx-doc-2024.02.29-r0.apk
2.0 KiB
i2util-4.2.1-r1.apk
23 KiB
i2util-dev-4.2.1-r1.apk
48 KiB
i2util-doc-4.2.1-r1.apk
4.5 KiB
i3bar-river-1.1.0-r1.apk
555 KiB
i3bar-river-openrc-1.1.0-r1.apk
1.5 KiB
i3bar-river-systemd-1.1.0-r1.apk
1.6 KiB
i3status-rust-0.34.0-r0.apk
4.4 MiB
i3status-rust-doc-0.34.0-r0.apk
33 KiB
ibus-typing-booster-2.29.0-r0.apk
13 MiB
ibus-typing-booster-lang-2.29.0-r0.apk
317 KiB
ibus-typing-booster-pyc-2.29.0-r0.apk
1.5 MiB
icesprog-0_git20240108-r1.apk
9.3 KiB
icesprog-udev-0_git20240108-r1.apk
1.6 KiB
icestorm-0_git20240517-r0.apk
17 MiB
icingaweb2-module-businessprocess-2.5.2-r0.apk
110 KiB
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2.0 MiB
icingaweb2-module-fileshipper-1.2.0-r3.apk
11 KiB
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
231 KiB
icingaweb2-module-generictts-2.1.0-r0.apk
6.3 KiB
icingaweb2-module-generictts-doc-2.1.0-r0.apk
1.6 KiB
icingaweb2-module-pnp-1.1.0-r1.apk
9.0 KiB
icingaweb2-module-pnp-doc-1.1.0-r1.apk
1.6 KiB
identities-0.2.3-r0.apk
18 KiB
identme-0.6.0-r0.apk
48 KiB
idesk-1-r1.apk
72 KiB
ideviceinstaller-1.1.1-r4.apk
14 KiB
ideviceinstaller-doc-1.1.1-r4.apk
2.2 KiB
idevicerestore-1.0.0_git20250914-r0.apk
100 KiB
idevicerestore-doc-1.0.0_git20250914-r0.apk
3.2 KiB
ifuse-1.1.4-r5.apk
10 KiB
ifuse-doc-1.1.4-r5.apk
2.1 KiB
igrep-1.2.0-r0.apk
1.7 MiB
igrep-doc-1.2.0-r0.apk
3.9 KiB
ijq-1.2.0-r2.apk
1.4 MiB
ijq-doc-1.2.0-r2.apk
3.3 KiB
imapfilter-2.8.2-r0.apk
43 KiB
imapfilter-doc-2.8.2-r0.apk
13 KiB
imapgoose-0.4.1-r1.apk
2.4 MiB
imapgoose-doc-0.4.1-r1.apk
5.4 KiB
imapgoose-openrc-0.4.1-r1.apk
1.5 KiB
imapgoose-systemd-0.4.1-r1.apk
1.5 KiB
imediff-2.6-r1.apk
41 KiB
imediff-doc-2.6-r1.apk
6.3 KiB
imediff-pyc-2.6-r1.apk
43 KiB
imgdiff-1.0.2-r31.apk
1023 KiB
imgdiff-doc-1.0.2-r31.apk
2.0 KiB
imrsh-0_git20210320-r1.apk
9.0 KiB
imrsh-dbg-0_git20210320-r1.apk
19 KiB
infnoise-0.3.3-r0.apk
15 KiB
infnoise-doc-0.3.3-r0.apk
3.9 KiB
infnoise-openrc-0.3.3-r0.apk
1.5 KiB
initify-0_git20171210-r1.apk
3.0 KiB
inlyne-0.5.0-r0.apk
7.0 MiB
inlyne-bash-completion-0.5.0-r0.apk
2.1 KiB
inlyne-doc-0.5.0-r0.apk
2.0 KiB
inlyne-fish-completion-0.5.0-r0.apk
2.2 KiB
inlyne-zsh-completion-0.5.0-r0.apk
2.5 KiB
innernet-1.6.1-r0.apk
2.9 MiB
innernet-bash-completion-1.6.1-r0.apk
3.6 KiB
innernet-doc-1.6.1-r0.apk
8.8 KiB
innernet-fish-completion-1.6.1-r0.apk
4.3 KiB
innernet-openrc-1.6.1-r0.apk
2.0 KiB
innernet-zsh-completion-1.6.1-r0.apk
5.2 KiB
interception-tools-0.6.8-r3.apk
107 KiB
interception-tools-openrc-0.6.8-r3.apk
1.4 KiB
invidtui-0.4.6-r10.apk
3.9 MiB
ip2location-8.6.1-r0.apk
26 KiB
ip2location-dev-8.6.1-r0.apk
13 KiB
ip2location-doc-8.6.1-r0.apk
2.5 KiB
ipp-usb-0.9.30-r5.apk
2.4 MiB
ipp-usb-doc-0.9.30-r5.apk
8.6 KiB
ipp-usb-openrc-0.9.30-r5.apk
1.5 KiB
iprange-1.0.4-r1.apk
20 KiB
iprange-doc-1.0.4-r1.apk
4.3 KiB
irccd-4.0.3-r0.apk
280 KiB
irccd-dev-4.0.3-r0.apk
9.4 KiB
irccd-doc-4.0.3-r0.apk
80 KiB
irccd-openrc-4.0.3-r0.apk
1.6 KiB
ircd-hybrid-8.2.47-r0.apk
516 KiB
ircd-hybrid-doc-8.2.47-r0.apk
3.4 KiB
ircdog-0.5.4-r10.apk
2.4 MiB
isoinfo-0_git20131217-r1.apk
6.7 KiB
isomd5sum-1.2.5-r0.apk
32 KiB
isomd5sum-doc-1.2.5-r0.apk
2.7 KiB
it87-src-1_p20240609-r0.apk
29 KiB
jack_capture-0.9.73_git20210429-r2.apk
36 KiB
jackal-0.64.0-r20.apk
12 MiB
jackal-openrc-0.64.0-r20.apk
1.6 KiB
jackdaw-0.3.1-r2.apk
2.0 MiB
jackdaw-pyc-0.3.1-r2.apk
364 KiB
jalv-1.6.8-r1.apk
52 KiB
jalv-doc-1.6.8-r1.apk
2.9 KiB
jalv-gtk-1.6.8-r1.apk
34 KiB
jaq-2.3.0-r0.apk
830 KiB
jaq-doc-2.3.0-r0.apk
2.0 KiB
java-asmtools-8.0.09-r0.apk
574 KiB
java-asmtools-doc-8.0.09-r0.apk
6.6 KiB
java-gdcm-3.2.2-r3.apk
637 KiB
java-jtharness-6.0_p12-r0.apk
4.0 MiB
java-jtharness-doc-6.0_p12-r0.apk
12 KiB
java-jtharness-examples-6.0_p12-r0.apk
219 KiB
jbigkit-2.1-r2.apk
66 KiB
jbigkit-dev-2.1-r2.apk
31 KiB
jbigkit-doc-2.1-r2.apk
7.1 KiB
jdebp-redo-1.4-r1.apk
99 KiB
jdebp-redo-doc-1.4-r1.apk
12 KiB
jdupes-1.28.0-r0.apk
28 KiB
jdupes-doc-1.28.0-r0.apk
8.7 KiB
jedi-language-server-0.45.1-r0.apk
32 KiB
jedi-language-server-pyc-0.45.1-r0.apk
50 KiB
jfrog-cli-2.45.0-r17.apk
8.9 MiB
jgmenu-4.5.0-r0.apk
138 KiB
jgmenu-doc-4.5.0-r0.apk
22 KiB
jgmenu-xfce4-4.5.0-r0.apk
7.8 KiB
jhead-3.08-r0.apk
33 KiB
jhead-doc-3.08-r0.apk
7.6 KiB
jotdown-0.7.0-r0.apk
245 KiB
jrsonnet-cli-0.4.2-r1.apk
598 KiB
jsmn-1.1.0-r2.apk
4.5 KiB
json2tsv-1.2-r0.apk
6.8 KiB
json2tsv-doc-1.2-r0.apk
5.0 KiB
json2tsv-jaq-1.2-r0.apk
1.6 KiB
json2tsv-jaq-doc-1.2-r0.apk
2.1 KiB
jsonnet-bundler-0.6.0-r10.apk
3.2 MiB
jsonnet-language-server-0.16.0-r1.apk
4.3 MiB
junit2html-31.0.2-r0.apk
16 KiB
junit2html-pyc-31.0.2-r0.apk
23 KiB
jwt-cli-6.2.0-r0.apk
882 KiB
k2-0_git20250903-r0.apk
82 KiB
k3sup-0.13.6-r10.apk
2.6 MiB
k3sup-bash-completion-0.13.6-r10.apk
4.8 KiB
k3sup-fish-completion-0.13.6-r10.apk
4.0 KiB
k3sup-zsh-completion-0.13.6-r10.apk
3.7 KiB
kabmat-2.7.0-r0.apk
61 KiB
kabmat-doc-2.7.0-r0.apk
3.3 KiB
kanidm-1.8.5-r1.apk
1.0 KiB
kanidm-bash-completion-1.8.5-r1.apk
26 KiB
kanidm-clients-1.8.5-r1.apk
3.6 MiB
kanidm-openrc-1.8.5-r1.apk
1.8 KiB
kanidm-server-1.8.5-r1.apk
15 MiB
kanidm-unixd-clients-1.8.5-r1.apk
8.2 MiB
kanidm-zsh-completion-1.8.5-r1.apk
36 KiB
kapow-0.7.1-r18.apk
3.5 MiB
katana-1.3.0-r0.apk
16 MiB
katana-doc-1.3.0-r0.apk
2.0 KiB
katarakt-0.3-r0.apk
132 KiB
kbs2-0.7.3-r0.apk
1.3 MiB
kbs2-bash-completion-0.7.3-r0.apk
3.0 KiB
kbs2-fish-completion-0.7.3-r0.apk
3.2 KiB
kbs2-zsh-completion-0.7.3-r0.apk
3.8 KiB
kcbench-0.9.14-r0.apk
37 KiB
kcbench-doc-0.9.14-r0.apk
20 KiB
kdiskmark-3.2.0-r0.apk
172 KiB
kdiskmark-lang-3.2.0-r0.apk
32 KiB
keepsecret-1.0.0-r0.apk
140 KiB
keepsecret-lang-1.0.0-r0.apk
27 KiB
kerberoast-0.2.0-r2.apk
9.1 KiB
kerberoast-pyc-0.2.0-r2.apk
15 KiB
kew-3.7.3-r0.apk
697 KiB
kew-doc-3.7.3-r0.apk
3.4 KiB
keybase-client-6.2.8-r15.apk
18 MiB
keystone-0.9.2-r6.apk
1.4 MiB
keystone-dev-0.9.2-r6.apk
7.0 KiB
keystone-python-0.9.2-r6.apk
1.6 MiB
keystone-python-pyc-0.9.2-r6.apk
9.4 KiB
kfc-0.1.4-r0.apk
58 KiB
khinsider-2.0.7-r25.apk
3.4 MiB
khronos-4.0.1-r0.apk
56 KiB
khronos-lang-4.0.1-r0.apk
26 KiB
kimchi-3.0.0-r8.apk
529 KiB
kimchi-lang-3.0.0-r8.apk
172 KiB
kimchi-pyc-3.0.0-r8.apk
476 KiB
kine-0.10.1-r18.apk
7.6 MiB
kine-doc-0.10.1-r18.apk
4.9 KiB
kirc-0.3.3-r0.apk
15 KiB
kirc-doc-0.3.3-r0.apk
2.5 KiB
kismet-0.202509.1-r0.apk
12 MiB
kismet-linux-bluetooth-0.202509.1-r0.apk
50 KiB
kismet-linux-wifi-0.202509.1-r0.apk
69 KiB
kismet-logtools-0.202509.1-r0.apk
1.2 MiB
kismet-nrf-51822-0.202509.1-r0.apk
48 KiB
kismet-nxp-kw41z-0.202509.1-r0.apk
49 KiB
kjv-0_git20221103-r0.apk
1.5 MiB
klong-20221212-r0.apk
332 KiB
knative-client-1.19.6-r1.apk
22 MiB
knative-client-bash-completion-1.19.6-r1.apk
9.9 KiB
knative-client-fish-completion-1.19.6-r1.apk
4.0 KiB
knative-client-zsh-completion-1.19.6-r1.apk
3.8 KiB
knxd-0.14.61-r1.apk
402 KiB
knxd-dev-0.14.61-r1.apk
24 KiB
ko-0.17.1-r10.apk
9.9 MiB
ko-bash-completion-0.17.1-r10.apk
4.8 KiB
ko-fish-completion-0.17.1-r10.apk
4.0 KiB
ko-zsh-completion-0.17.1-r10.apk
3.8 KiB
kodaskanna-0.2.2-r0.apk
55 KiB
kodaskanna-lang-0.2.2-r0.apk
23 KiB
kodi-audioencoder-flac-20.2.0-r1.apk
41 KiB
kodi-audioencoder-lame-20.3.0-r1.apk
90 KiB
kodi-audioencoder-vorbis-20.2.0-r1.apk
33 KiB
kodi-audioencoder-wav-20.2.0-r1.apk
25 KiB
kodi-game-libretro-20.1.0-r0.apk
117 KiB
kodi-game-libretro-atari800-3.1.0.28-r0.apk
238 KiB
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
13 KiB
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
12 KiB
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
14 KiB
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
18 KiB
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
51 KiB
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
61 KiB
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
17 KiB
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
17 KiB
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
14 KiB
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
15 KiB
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
71 KiB
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
177 KiB
kodi-game-libretro-frodo-0.0.1.25-r0.apk
158 KiB
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
324 KiB
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
1.3 MiB
kodi-game-libretro-mgba-0.11.0.44-r0.apk
17 KiB
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
20 KiB
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
23 KiB
kodi-game-libretro-theodore-0.0.1.32-r0.apk
13 KiB
kodi-inputstream-adaptive-21.5.9-r0.apk
1.1 MiB
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
358 KiB
kodi-inputstream-rtmp-21.1.2-r0.apk
88 KiB
kodi-peripheral-joystick-20.1.9-r0.apk
216 KiB
kodi-pvr-hts-21.2.6-r0.apk
309 KiB
kodi-pvr-iptvsimple-21.10.2-r0.apk
935 KiB
kodi-vfs-libarchive-21.0.2-r0.apk
116 KiB
kodi-vfs-rar-20.1.0-r1.apk
410 KiB
kodi-vfs-sacd-20.1.0-r1.apk
98 KiB
kodi-vfs-sftp-20.2.0-r1.apk
53 KiB
komikku-1.85.0-r0.apk
444 KiB
komikku-lang-1.85.0-r0.apk
284 KiB
komikku-pyc-1.85.0-r0.apk
797 KiB
kompose-1.31.2-r15.apk
6.8 MiB
kompose-bash-completion-1.31.2-r15.apk
5.3 KiB
kompose-fish-completion-1.31.2-r15.apk
4.1 KiB
kompose-zsh-completion-1.31.2-r15.apk
6.5 KiB
kondo-0.8-r0.apk
724 KiB
kondo-bash-completion-0.8-r0.apk
1.8 KiB
kondo-fish-completion-0.8-r0.apk
1.8 KiB
kondo-zsh-completion-0.8-r0.apk
2.2 KiB
kontainer-1.0.1-r0.apk
195 KiB
kopia-0.21.1-r4.apk
15 MiB
kopia-bash-completion-0.21.1-r4.apk
1.5 KiB
kopia-zsh-completion-0.21.1-r4.apk
1.5 KiB
kotlin-language-server-1.3.13-r0.apk
83 MiB
krita-plugin-gmic-3.2.4.1-r3.apk
2.8 MiB
kronosnet-1.32-r0.apk
87 KiB
kronosnet-dev-1.32-r0.apk
2.5 MiB
kronosnet-doc-1.32-r0.apk
108 KiB
ktx-4.3.2-r1.apk
1.4 MiB
ktx-dev-4.3.2-r1.apk
29 KiB
ktx-libs-4.3.2-r1.apk
1.6 MiB
kube-no-trouble-0.7.3-r10.apk
13 MiB
kubeconform-0.7.0-r3.apk
3.5 MiB
kubectl-krew-0.4.5-r7.apk
4.4 MiB
kubectl-oidc_login-1.34.2-r1.apk
5.4 MiB
kubepug-1.7.1-r15.apk
16 MiB
kubepug-bash-completion-1.7.1-r15.apk
4.9 KiB
kubepug-fish-completion-1.7.1-r15.apk
4.1 KiB
kubepug-zsh-completion-1.7.1-r15.apk
3.8 KiB
kubeseal-0.32.1-r2.apk
11 MiB
kubeseal-doc-0.32.1-r2.apk
5.3 KiB
kubesplit-0.3.3-r1.apk
12 KiB
kubesplit-pyc-0.3.3-r1.apk
13 KiB
lavacli-2.4-r0.apk
49 KiB
lavacli-doc-2.4-r0.apk
34 KiB
lavacli-pyc-2.4-r0.apk
88 KiB
laze-0.1.38-r0.apk
1.2 MiB
laze-bash-completion-0.1.38-r0.apk
2.9 KiB
laze-doc-0.1.38-r0.apk
3.3 KiB
laze-fish-completion-0.1.38-r0.apk
3.2 KiB
laze-zsh-completion-0.1.38-r0.apk
3.4 KiB
lbb-0.10.4-r1.apk
3.1 MiB
lbb-doc-0.10.4-r1.apk
20 KiB
lcalc-2.1.0-r0.apk
178 KiB
lcalc-dev-2.1.0-r0.apk
51 KiB
lcalc-doc-2.1.0-r0.apk
436 KiB
lcalc-libs-2.1.0-r0.apk
221 KiB
ldapdomaindump-0.10.0-r0.apk
18 KiB
ldapdomaindump-pyc-0.10.0-r0.apk
30 KiB
ldc-stage0-1.41.0-r0.apk
11 MiB
ledmon-1.0.0-r0.apk
84 KiB
ledmon-doc-1.0.0-r0.apk
14 KiB
lefthook-2.0.4-r1.apk
5.6 MiB
lefthook-doc-2.0.4-r1.apk
2.0 KiB
legume-1.4.2-r14.apk
1.5 MiB
legume-doc-1.4.2-r14.apk
12 KiB
leptosfmt-0.1.33-r0.apk
1.1 MiB
leptosfmt-doc-0.1.33-r0.apk
5.8 KiB
levmar-dev-2.6-r0.apk
51 KiB
lfm-3.1-r4.apk
88 KiB
lfm-doc-3.1-r4.apk
2.5 KiB
lfm-pyc-3.1-r4.apk
134 KiB
lgogdownloader-3.18-r0.apk
373 KiB
lgogdownloader-doc-3.18-r0.apk
8.2 KiB
libabigail-2.8-r0.apk
1.0 MiB
libabigail-bash-completion-2.8-r0.apk
2.6 KiB
libabigail-dev-2.8-r0.apk
1.6 MiB
libabigail-doc-2.8-r0.apk
77 KiB
libabigail-tools-2.8-r0.apk
128 KiB
libantlr3c-3.4-r3.apk
60 KiB
libantlr3c-dev-3.4-r3.apk
58 KiB
libantlr4-4.13.2-r0.apk
487 KiB
libantlr4-dev-4.13.2-r0.apk
995 KiB
libaudec-0.3.4-r3.apk
28 KiB
libaudec-dev-0.3.4-r3.apk
4.0 KiB
libaudec-static-0.3.4-r3.apk
32 KiB
libaudec-tools-0.3.4-r3.apk
28 KiB
libb64-2.0.0.1-r0.apk
4.6 KiB
libb64-dev-2.0.0.1-r0.apk
5.6 KiB
libb64-doc-2.0.0.1-r0.apk
7.8 KiB
libbamf-0.5.6-r1.apk
160 KiB
libbamf-dev-0.5.6-r1.apk
6.2 KiB
libbamf-doc-0.5.6-r1.apk
31 KiB
libbloom-2.0-r0.apk
6.1 KiB
libbloom-dev-2.0-r0.apk
3.2 KiB
libbraiding-1.3.1-r0.apk
112 KiB
libbraiding-dev-1.3.1-r0.apk
15 KiB
libbsoncxx-3.8.0-r0.apk
46 KiB
libbsoncxx-dev-3.8.0-r0.apk
38 KiB
libclc-next-22.0.0_pre20251226-r0.apk
12 MiB
libcli-1.10.7-r0.apk
36 KiB
libcob4-3.2-r0.apk
212 KiB
libcork-0.15.0-r7.apk
37 KiB
libcork-dev-0.15.0-r7.apk
30 KiB
libcork-tools-0.15.0-r7.apk
4.8 KiB
libcorkipset-1.1.1-r4.apk
15 KiB
libcorkipset-dev-1.1.1-r4.apk
7.8 KiB
libcorkipset-tools-1.1.1-r4.apk
16 KiB
libcotp-3.1.1-r0.apk
10 KiB
libcotp-dev-3.1.1-r0.apk
2.3 KiB
libcpdf-2.8.1-r0.apk
2.3 MiB
libcpdf-dev-2.8.1-r0.apk
16 KiB
libcpdf-static-2.8.1-r0.apk
3.6 MiB
libctl-4.5.1-r1.apk
100 KiB
libctl-dev-4.5.1-r1.apk
38 KiB
libctl-doc-4.5.1-r1.apk
2.8 KiB
libcyaml-1.4.2-r0.apk
22 KiB
libcyaml-dev-1.4.2-r0.apk
13 KiB
libcyaml-doc-1.4.2-r0.apk
8.4 KiB
libcyaml-static-1.4.2-r0.apk
25 KiB
libdbusaccess-1.0.20-r1.apk
20 KiB
libdbusaccess-dev-1.0.20-r1.apk
4.7 KiB
libdcmtk-3.7.0-r0.apk
6.7 MiB
libdiscid-0.6.5-r0.apk
12 KiB
libdiscid-dev-0.6.5-r0.apk
6.4 KiB
libdng-0.2.1-r0.apk
12 KiB
libdng-dev-0.2.1-r0.apk
2.9 KiB
libdng-doc-0.2.1-r0.apk
3.9 KiB
libdng-utils-0.2.1-r0.apk
6.2 KiB
libeantic-2.1.0-r2.apk
81 KiB
libeantic-dev-2.1.0-r2.apk
17 KiB
libecap-1.0.1-r1.apk
13 KiB
libecap-dev-1.0.1-r1.apk
11 KiB
libecap-static-1.0.1-r1.apk
18 KiB
libemf2svg-1.1.0-r3.apk
162 KiB
libemf2svg-utils-1.1.0-r3.apk
19 KiB
liberasurecode-1.6.3-r1.apk
45 KiB
liberasurecode-dev-1.6.3-r1.apk
18 KiB
libetebase-0.5.8-r0.apk
851 KiB
libetebase-dev-0.5.8-r0.apk
11 KiB
libettercap-0.8.3.1-r3.apk
199 KiB
libfishsound-1.0.0-r1.apk
11 KiB
libfishsound-dev-1.0.0-r1.apk
57 KiB
libfishsound-doc-1.0.0-r1.apk
75 KiB
libfoma-0.10.0_git20240712-r0.apk
110 KiB
libfort-0.4.2-r0.apk
32 KiB
libfort-dev-0.4.2-r0.apk
17 KiB
libgdcm-3.2.2-r3.apk
2.6 MiB
libgivaro-4.2.0-r2.apk
79 KiB
libgivaro-dev-4.2.0-r2.apk
244 KiB
libgivaro-static-4.2.0-r2.apk
100 KiB
libglib-testing-0.1.1-r0.apk
13 KiB
libglib-testing-dev-0.1.1-r0.apk
5.3 KiB
libglib-testing-doc-0.1.1-r0.apk
25 KiB
libgrapheme-2.0.2-r0.apk
26 KiB
libgrapheme-dev-2.0.2-r0.apk
33 KiB
libgrapheme-doc-2.0.2-r0.apk
20 KiB
libguestfs-1.56.1-r0.apk
304 KiB
libguestfs-dev-1.56.1-r0.apk
29 KiB
libguestfs-doc-1.56.1-r0.apk
568 KiB
libguestfs-static-1.56.1-r0.apk
509 KiB
libhomfly-1.02_p6-r1.apk
19 KiB
libhomfly-dev-1.02_p6-r1.apk
23 KiB
libhwpwm-0.4.4-r0.apk
5.7 KiB
libhwpwm-dev-0.4.4-r0.apk
5.8 KiB
libhwpwm-doc-0.4.4-r0.apk
13 KiB
libibumad-1.3.10.2-r3.apk
15 KiB
libibumad-dev-1.3.10.2-r3.apk
7.3 KiB
libibumad-doc-1.3.10.2-r3.apk
23 KiB
libideviceactivation-1.1.1-r5.apk
19 KiB
libideviceactivation-dev-1.1.1-r5.apk
3.0 KiB
libideviceactivation-doc-1.1.1-r5.apk
1.9 KiB
libigraph-1.0.1-r0.apk
2.0 MiB
libigraph-dev-1.0.1-r0.apk
89 KiB
libiio-0.25-r2.apk
56 KiB
libiio-dev-0.25-r2.apk
13 KiB
libiio-doc-0.25-r2.apk
18 KiB
libiio-pyc-0.25-r2.apk
21 KiB
libiio-tools-0.25-r2.apk
100 KiB
libinfnoise-0.3.3-r0.apk
14 KiB
libirecovery-1.3.0-r0.apk
25 KiB
libirecovery-dev-1.3.0-r0.apk
3.9 KiB
libirecovery-progs-1.3.0-r0.apk
8.6 KiB
libiscsi-1.19.0-r2.apk
62 KiB
libiscsi-dev-1.19.0-r2.apk
20 KiB
libiscsi-doc-1.19.0-r2.apk
9.0 KiB
libiscsi-static-1.19.0-r2.apk
78 KiB
libiscsi-utils-1.19.0-r2.apk
95 KiB
libjodycode-3.1.1-r0.apk
8.0 KiB
libjodycode-dev-3.1.1-r0.apk
4.0 KiB
libjodycode-doc-3.1.1-r0.apk
3.4 KiB
liblastfm-qt-1.1.10_git20190823-r3.apk
169 KiB
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
19 KiB
libm4ri-20240729-r2.apk
144 KiB
libm4ri-dev-20240729-r2.apk
31 KiB
libm4ri-static-20240729-r2.apk
159 KiB
libm4rie-20200125-r5.apk
198 KiB
libm4rie-dev-20200125-r5.apk
24 KiB
libm4rie-static-20200125-r5.apk
221 KiB
libmdbx-0.11.8-r0.apk
826 KiB
libmdbx-dbg-0.11.8-r0.apk
2.4 MiB
libmdbx-dev-0.11.8-r0.apk
93 KiB
libmdbx-doc-0.11.8-r0.apk
8.9 KiB
libmhash-0.9.9.9-r3.apk
99 KiB
libmhash-dev-0.9.9.9-r3.apk
113 KiB
libmhash-doc-0.9.9.9-r3.apk
8.2 KiB
libmpfi-1.5.4-r2.apk
40 KiB
libmpfi-dev-1.5.4-r2.apk
5.1 KiB
libmpfi-doc-1.5.4-r2.apk
18 KiB
libmpfi-static-1.5.4-r2.apk
57 KiB
libmrss-0.19.2-r1.apk
20 KiB
libmrss-dev-0.19.2-r1.apk
32 KiB
libmustache-0.5.0-r1.apk
86 KiB
libmygpo-qt-1.1.0-r2.apk
90 KiB
libmygpo-qt-dev-1.1.0-r2.apk
12 KiB
libmysofa-1.3.2-r0.apk
27 KiB
libmysofa-dev-1.3.2-r0.apk
6.8 KiB
libmysofa-tools-1.3.2-r0.apk
1.1 MiB
libneo4j-client-2.2.0-r3.apk
73 KiB
libneo4j-client-dev-2.2.0-r3.apk
123 KiB
libnest2d-0.4-r7.apk
980 B
libnest2d-dev-0.4-r7.apk
70 KiB
libnfcdef-1.1.0-r0.apk
15 KiB
libnfcdef-dev-1.1.0-r0.apk
5.7 KiB
libnih-1.0.3-r7.apk
110 KiB
libnih-dev-1.0.3-r7.apk
124 KiB
libnih-doc-1.0.3-r7.apk
2.4 KiB
libntl-11.6.0-r0.apk
1.3 MiB
libntl-dev-11.6.0-r0.apk
157 KiB
libntl-doc-11.6.0-r0.apk
365 KiB
libntl-static-11.6.0-r0.apk
1.9 MiB
libnxml-0.18.3-r0.apk
21 KiB
libnxml-dev-0.18.3-r0.apk
29 KiB
libofx-0.10.9-r1.apk
63 KiB
libofx-dev-0.10.9-r1.apk
19 KiB
libofx-tools-0.10.9-r1.apk
106 KiB
liboggz-1.1.1-r2.apk
129 KiB
liboggz-dev-1.1.1-r2.apk
170 KiB
liboggz-doc-1.1.1-r2.apk
134 KiB
libqofono-0.124-r0.apk
984 B
libqofono-dev-0.124-r0.apk
42 KiB
libqofono-qt5-0.124-r0.apk
295 KiB
libqofono-qt6-0.124-r0.apk
449 KiB
libqtdbusmock-0.9.1-r2.apk
72 KiB
libqtdbustest-0.4.0-r0.apk
34 KiB
libre-4.1.0-r0.apk
302 KiB
libre-dev-4.1.0-r0.apk
509 KiB
libreoffice-voikko-5.0_git20200127-r0.apk
45 KiB
librespot-0.8.0-r0.apk
2.5 MiB
librespot-openrc-0.8.0-r0.apk
1.6 KiB
libresprite-1.2-r0.apk
15 MiB
libresprite-doc-1.2-r0.apk
14 KiB
libretro-atari800-0_git20240924-r0.apk
315 KiB
libretro-beetle-pce-fast-0_git20220205-r0.apk
494 KiB
libretro-beetle-pcfx-0_git20220409-r0.apk
366 KiB
libretro-beetle-saturn-0_git20220417-r0.apk
1.7 MiB
libretro-beetle-supergrafx-0_git20220218-r0.apk
504 KiB
libretro-blastem-0_git20210810-r0.apk
277 KiB
libretro-bluemsx-0_git20240808-r0.apk
712 KiB
libretro-cannonball-0_git20220309-r6.apk
285 KiB
libretro-cap32-0_git20220419-r0.apk
314 KiB
libretro-crocods-0_git20210314-r1.apk
297 KiB
libretro-daphne-0_git20210108-r2.apk
623 KiB
libretro-dinothawr-0_git20220401-r0.apk
150 KiB
libretro-fbneo-0_git20220416-r0.apk
13 MiB
libretro-freeintv-0_git20220319-r0.apk
40 KiB
libretro-frodo-0_git20221221-r0.apk
171 KiB
libretro-fuse-0_git20220417-r0.apk
1005 KiB
libretro-genesis-plus-gx-0_git20230503-r0.apk
767 KiB
libretro-gong-0_git20220319-r0.apk
9.0 KiB
libretro-gw-0_git20220410-r0.apk
218 KiB
libretro-mame2000-0_git20240701-r0.apk
2.9 MiB
libretro-mame2003-0_git20240904-r0.apk
7.1 MiB
libretro-mu-0_git20220317-r0.apk
199 KiB
libretro-neocd-0_git20220325-r1.apk
498 KiB
libretro-nxengine-0_git20220301-r0.apk
354 KiB
libretro-openlara-0_git20210121-r0.apk
592 KiB
libretro-opera-0_git20211214-r0.apk
201 KiB
libretro-pcsx-rearmed-0_git20220409-r0.apk
575 KiB
libretro-pocketcdg-0_git20220327-r0.apk
95 KiB
libretro-ppsspp-0_git20210516-r15.apk
2.2 MiB
libretro-scummvm-0_git20210325-r0.apk
22 MiB
libretro-snes9x-0_git20240819-r0.apk
722 KiB
libretro-theodore-3.1-r0.apk
874 KiB
libretro-tyrquake-0_git20220409-r0.apk
468 KiB
libretro-xrick-0_git20220331-r0.apk
132 KiB
libsbsms-2.3.0-r0.apk
109 KiB
libsbsms-dev-2.3.0-r0.apk
134 KiB
libsds-2.0.0-r1.apk
10 KiB
libsds-dev-2.0.0-r1.apk
3.8 KiB
libsemanage-3.6-r1.apk
97 KiB
libsemanage-dev-3.6-r1.apk
158 KiB
libsemanage-doc-3.6-r1.apk
23 KiB
libsemigroups-2.7.3-r1.apk
764 KiB
libsemigroups-dev-2.7.3-r1.apk
334 KiB
libsemigroups-static-2.7.3-r1.apk
1.7 MiB
libserialport-0.1.1-r1.apk
20 KiB
libserialport-dev-0.1.1-r1.apk
40 KiB
libshadowsocks-libev-3.3.5-r4.apk
48 KiB
libsigrok-0.5.2-r3.apk
498 KiB
libsigrok-dev-0.5.2-r3.apk
30 KiB
libsigrokdecode-0.5.3-r4.apk
336 KiB
libsigrokdecode-dev-0.5.3-r4.apk
41 KiB
libsimpleble-0.10.3-r0.apk
198 KiB
libsimpleble-c-0.10.3-r0.apk
16 KiB
libsimplebluez-0.10.3-r0.apk
142 KiB
libsirocco-2.1.1-r0.apk
64 KiB
libsirocco-dev-2.1.1-r0.apk
1.9 KiB
libspatialindex-2.1.0-r1.apk
306 KiB
libspatialindex-dev-2.1.0-r1.apk
21 KiB
libsquish-1.15-r0.apk
994 B
libsquish-dev-1.15-r0.apk
4.5 KiB
libsquish-doc-1.15-r0.apk
2.0 KiB
libsquish-libs-1.15-r0.apk
22 KiB
libstirshaken-0_git20240208-r4.apk
53 KiB
libstirshaken-dev-0_git20240208-r4.apk
84 KiB
libstirshaken-tools-0_git20240208-r4.apk
170 KiB
libtatsu-1.0.5-r0.apk
17 KiB
libtatsu-dev-1.0.5-r0.apk
22 KiB
libtcmu-1.6.0-r6.apk
38 KiB
libtcmu-dev-1.6.0-r6.apk
1.3 KiB
libtins-4.5-r2.apk
309 KiB
libtins-dev-4.5-r2.apk
138 KiB
libtins-doc-4.5-r2.apk
2.1 KiB
libtsm-4.3.0-r0.apk
27 KiB
libtsm-dev-4.3.0-r0.apk
7.8 KiB
libucl-0.9.0-r0.apk
56 KiB
libucl-dev-0.9.0-r0.apk
87 KiB
libucl-doc-0.9.0-r0.apk
8.6 KiB
libuecc-7-r4.apk
9.9 KiB
libuecc-dev-7-r4.apk
4.4 KiB
libuninameslist-20230916-r0.apk
376 KiB
libuninameslist-dev-20230916-r0.apk
3.2 KiB
libuninameslist-doc-20230916-r0.apk
1.8 KiB
libupstart-2.0.3-r5.apk
52 KiB
libvalkey-0.2.1-r0.apk
69 KiB
libvalkey-dev-0.2.1-r0.apk
334 KiB
libvalkey-tls-0.2.1-r0.apk
6.8 KiB
libvdpau-va-gl-0.4.2-r0.apk
60 KiB
libvisio2svg-0.5.5-r3.apk
16 KiB
libvisio2svg-dev-0.5.5-r3.apk
2.7 KiB
libvisio2svg-utils-0.5.5-r3.apk
127 KiB
libvmaf-3.0.0-r0.apk
334 KiB
libvmaf-dev-3.0.0-r0.apk
199 KiB
libvoikko-4.3.2-r1.apk
136 KiB
libvoikko-dev-4.3.2-r1.apk
9.7 KiB
libvoikko-doc-4.3.2-r1.apk
5.5 KiB
libwasmtime-39.0.1-r0.apk
3.6 MiB
libwasmtime-static-39.0.1-r0.apk
5.6 MiB
libwbxml-0.11.8-r0.apk
80 KiB
libwbxml-dev-0.11.8-r0.apk
9.0 KiB
libwbxml-doc-0.11.8-r0.apk
28 KiB
libwhich-1.2.0-r0.apk
4.4 KiB
libwmiclient-1.3.16-r5.apk
1.2 KiB
libwmiclient-dev-1.3.16-r5.apk
1.4 KiB
libxml++-5.4.0-r0.apk
67 KiB
libxml++-dev-5.4.0-r0.apk
29 KiB
libxo-1.7.5-r0.apk
185 KiB
libxo-dev-1.7.5-r0.apk
78 KiB
libxo-doc-1.7.5-r0.apk
63 KiB
libzrtpcpp-4.7.0-r0.apk
162 KiB
libzrtpcpp-dev-4.7.0-r0.apk
38 KiB
libzvbi-0.2.44-r0.apk
226 KiB
libzvbi-dev-0.2.44-r0.apk
14 KiB
libzvbi-static-0.2.44-r0.apk
304 KiB
licenseheaders-0.8.8-r4.apk
18 KiB
licenseheaders-pyc-0.8.8-r4.apk
18 KiB
limnoria-20240828-r0.apk
1.1 MiB
limnoria-doc-20240828-r0.apk
8.1 KiB
limnoria-pyc-20240828-r0.apk
1.2 MiB
linkchecker-10.6.0-r0.apk
181 KiB
linkchecker-doc-10.6.0-r0.apk
39 KiB
linkchecker-pyc-10.6.0-r0.apk
253 KiB
linkquisition-1.6.1-r10.apk
12 MiB
linphone-5.3.38-r0.apk
9.0 MiB
linphone-dev-5.3.38-r0.apk
250 KiB
linphone-libs-5.3.38-r0.apk
3.1 MiB
linux-apfs-rw-src-0.3.8-r0.apk
197 KiB
linux-gpib-4.3.7-r0.apk
68 KiB
linux-gpib-dev-4.3.7-r0.apk
7.4 KiB
linux-gpib-doc-4.3.7-r0.apk
326 KiB
linux-gpib-udev-4.3.7-r0.apk
2.4 KiB
linux-timemachine-1.3.2-r0.apk
4.8 KiB
linuxkit-1.8.2-r1.apk
13 MiB
linuxkit-doc-1.8.2-r1.apk
10 KiB
linuxptp-4.4-r0.apk
982 B
linuxptp-doc-4.4-r0.apk
38 KiB
linuxptp-hwstamp_ctl-4.4-r0.apk
4.5 KiB
linuxptp-nsm-4.4-r0.apk
32 KiB
linuxptp-phc2sys-4.4-r0.apk
38 KiB
linuxptp-phc_ctl-4.4-r0.apk
10 KiB
linuxptp-pmc-4.4-r0.apk
36 KiB
linuxptp-ptp4l-4.4-r0.apk
79 KiB
linuxptp-timemaster-4.4-r0.apk
17 KiB
linuxptp-ts2phc-4.4-r0.apk
37 KiB
linuxptp-tz2alt-4.4-r0.apk
20 KiB
liquibase-4.9.1-r0.apk
32 MiB
liquibase-doc-4.9.1-r0.apk
57 KiB
litehtml-0.9-r2.apk
352 KiB
litehtml-dev-0.9-r2.apk
41 KiB
litehtml-static-0.9-r2.apk
561 KiB
litterbox-1.9-r2.apk
35 KiB
litterbox-doc-1.9-r2.apk
6.9 KiB
lizardfs-3.13.0-r17.apk
115 KiB
lizardfs-bash-completion-3.13.0-r17.apk
1.6 KiB
lizardfs-cgi-3.13.0-r17.apk
31 KiB
lizardfs-cgiserv-3.13.0-r17.apk
7.1 KiB
lizardfs-cgiserv-openrc-3.13.0-r17.apk
1.7 KiB
lizardfs-chunkserver-3.13.0-r17.apk
312 KiB
lizardfs-chunkserver-openrc-3.13.0-r17.apk
1.4 KiB
lizardfs-client-3.13.0-r17.apk
1.1 MiB
lizardfs-doc-3.13.0-r17.apk
11 KiB
lizardfs-master-3.13.0-r17.apk
846 KiB
lizardfs-master-openrc-3.13.0-r17.apk
1.4 KiB
lizardfs-metalogger-3.13.0-r17.apk
129 KiB
lizardfs-metalogger-openrc-3.13.0-r17.apk
1.4 KiB
lld-next-22.0.0_pre20251226-r0.apk
8.7 KiB
lld-next-dev-22.0.0_pre20251226-r0.apk
18 KiB
lld-next-libs-22.0.0_pre20251226-r0.apk
2.1 MiB
lldb-next-22.0.0_pre20251226-r0.apk
8.3 MiB
lldb-next-dev-22.0.0_pre20251226-r0.apk
810 KiB
lldb-next-pyc-22.0.0_pre20251226-r0.apk
317 KiB
llmnrd-0.7-r1.apk
18 KiB
llmnrd-doc-0.7-r1.apk
2.8 KiB
llmnrd-openrc-0.7-r1.apk
1.6 KiB
llvm-next-22.0.0_pre20251226-r0.apk
26 MiB
llvm-next-dev-22.0.0_pre20251226-r0.apk
9.7 MiB
llvm-next-gtest-22.0.0_pre20251226-r0.apk
465 KiB
llvm-next-libc++-22.0.0_pre20251226-r0.apk
390 KiB
llvm-next-libc++-dev-22.0.0_pre20251226-r0.apk
1.8 MiB
llvm-next-libc++-static-22.0.0_pre20251226-r0.apk
703 KiB
llvm-next-libgcc-22.0.0_pre20251226-r0.apk
46 KiB
llvm-next-libgcc-dev-22.0.0_pre20251226-r0.apk
1.7 KiB
llvm-next-libs-22.0.0_pre20251226-r0.apk
36 MiB
llvm-next-libunwind-22.0.0_pre20251226-r0.apk
20 KiB
llvm-next-libunwind-dev-22.0.0_pre20251226-r0.apk
20 KiB
llvm-next-libunwind-static-22.0.0_pre20251226-r0.apk
26 KiB
llvm-next-linker-tools-22.0.0_pre20251226-r0.apk
1.4 MiB
llvm-next-lit-22.0.0_pre20251226-r0.apk
96 KiB
llvm-next-lit-pyc-22.0.0_pre20251226-r0.apk
165 KiB
llvm-next-offload-22.0.0_pre20251226-r0.apk
260 KiB
llvm-next-offload-dev-22.0.0_pre20251226-r0.apk
419 KiB
llvm-next-openmp-22.0.0_pre20251226-r0.apk
632 KiB
llvm-next-openmp-dev-22.0.0_pre20251226-r0.apk
134 KiB
llvm-next-polly-22.0.0_pre20251226-r0.apk
2.5 MiB
llvm-next-runtime-22.0.0_pre20251226-r0.apk
542 KiB
llvm-next-static-22.0.0_pre20251226-r0.apk
69 MiB
llvm-next-test-utils-22.0.0_pre20251226-r0.apk
786 KiB
lockrun-1.1.3-r1.apk
5.4 KiB
log4cpp-1.1.4-r1.apk
72 KiB
log4cpp-dev-1.1.4-r1.apk
39 KiB
log4cxx-1.5.0-r0.apk
544 KiB
log4cxx-dev-1.5.0-r0.apk
149 KiB
logc-0.5.0-r1.apk
8.7 KiB
logc-argp-0.5.0-r1.apk
17 KiB
logc-config-0.5.0-r1.apk
5.2 KiB
logc-czmq-0.1.0-r0.apk
4.1 KiB
logc-dev-0.5.0-r1.apk
8.3 KiB
logc-libevent-0.1.0-r0.apk
3.4 KiB
logc-libs-0.1.0-r0.apk
1.2 KiB
logc-libs-dev-0.1.0-r0.apk
5.6 KiB
logtop-0.7-r1.apk
15 KiB
logtop-doc-0.7-r1.apk
2.6 KiB
logtop-libs-0.7-r1.apk
16 KiB
lol-html-1.1.1-r1.apk
472 KiB
lol-html-dev-1.1.1-r1.apk
6.3 KiB
lolcat-1.4-r0.apk
11 KiB
lomiri-0.5.0-r4.apk
4.0 MiB
lomiri-action-api-1.2.1-r0.apk
83 KiB
lomiri-action-api-dev-1.2.1-r0.apk
4.7 KiB
lomiri-api-0.2.3-r0.apk
34 KiB
lomiri-api-dev-0.2.3-r0.apk
32 KiB
lomiri-app-launch-0.1.12-r5.apk
369 KiB
lomiri-app-launch-dev-0.1.12-r5.apk
20 KiB
lomiri-calculator-app-4.1.0-r0.apk
382 KiB
lomiri-calculator-app-lang-4.1.0-r0.apk
41 KiB
lomiri-clock-app-4.1.1-r0.apk
225 KiB
lomiri-clock-app-lang-4.1.1-r0.apk
455 KiB
lomiri-content-hub-2.2.1-r0.apk
288 KiB
lomiri-content-hub-dev-2.2.1-r0.apk
11 KiB
lomiri-content-hub-doc-2.2.1-r0.apk
1.4 MiB
lomiri-content-hub-lang-2.2.1-r0.apk
47 KiB
lomiri-docviewer-app-3.1.2-r0.apk
234 KiB
lomiri-docviewer-app-doc-3.1.2-r0.apk
1.8 KiB
lomiri-docviewer-app-lang-3.1.2-r0.apk
137 KiB
lomiri-download-manager-0.3.0-r0.apk
625 KiB
lomiri-download-manager-dev-0.3.0-r0.apk
17 KiB
lomiri-download-manager-doc-0.3.0-r0.apk
3.4 MiB
lomiri-download-manager-lang-0.3.0-r0.apk
30 KiB
lomiri-filemanager-app-1.1.4-r0.apk
345 KiB
lomiri-filemanager-app-lang-1.1.4-r0.apk
179 KiB
lomiri-gallery-app-3.0.2-r2.apk
3.7 MiB
lomiri-gallery-app-lang-3.0.2-r2.apk
106 KiB
lomiri-history-service-0.6-r20.apk
369 KiB
lomiri-history-service-dev-0.6-r20.apk
11 KiB
lomiri-indicator-location-25.4.22-r1.apk
23 KiB
lomiri-indicator-location-lang-25.4.22-r1.apk
27 KiB
lomiri-indicator-location-systemd-25.4.22-r1.apk
1.5 KiB
lomiri-indicator-network-1.1.2-r0.apk
631 KiB
lomiri-indicator-network-dev-1.1.2-r0.apk
9.3 KiB
lomiri-indicator-network-doc-1.1.2-r0.apk
1.8 KiB
lomiri-indicator-network-lang-1.1.2-r0.apk
199 KiB
lomiri-lang-0.5.0-r4.apk
269 KiB
lomiri-libusermetrics-1.4.0-r0.apk
234 KiB
lomiri-libusermetrics-dev-1.4.0-r0.apk
7.6 KiB
lomiri-libusermetrics-doc-1.4.0-r0.apk
227 KiB
lomiri-libusermetrics-lang-1.4.0-r0.apk
45 KiB
lomiri-location-service-3.3.0-r5.apk
2.2 MiB
lomiri-location-service-dev-3.3.0-r5.apk
30 KiB
lomiri-location-service-doc-3.3.0-r5.apk
2.6 KiB
lomiri-location-service-lang-3.3.0-r5.apk
26 KiB
lomiri-location-service-systemd-3.3.0-r5.apk
1.9 KiB
lomiri-notifications-1.3.1-r0.apk
99 KiB
lomiri-schemas-0.1.9-r0.apk
10 KiB
lomiri-settings-components-1.1.3-r0.apk
222 KiB
lomiri-settings-components-lang-1.1.3-r0.apk
107 KiB
lomiri-sounds-25.01-r0.apk
18 MiB
lomiri-system-settings-1.3.2-r0.apk
1.1 MiB
lomiri-system-settings-lang-1.3.2-r0.apk
846 KiB
lomiri-telephony-service-0.6.2-r1.apk
1022 KiB
lomiri-telephony-service-lang-0.6.2-r1.apk
108 KiB
lomiri-terminal-app-2.0.5-r0.apk
64 KiB
lomiri-terminal-app-doc-2.0.5-r0.apk
2.4 KiB
lomiri-terminal-app-lang-2.0.5-r0.apk
91 KiB
lomiri-thumbnailer-3.1.0-r0.apk
228 KiB
lomiri-thumbnailer-dev-3.1.0-r0.apk
4.8 KiB
lomiri-thumbnailer-doc-3.1.0-r0.apk
123 KiB
lomiri-trust-store-2.0.2-r14.apk
1002 KiB
lomiri-trust-store-dev-2.0.2-r14.apk
8.9 KiB
lomiri-trust-store-lang-2.0.2-r14.apk
28 KiB
lomiri-ui-extras-0.8.0-r0.apk
263 KiB
lomiri-ui-extras-lang-0.8.0-r0.apk
52 KiB
lomiri-ui-toolkit-1.3.5110-r5.apk
1.3 MiB
lomiri-ui-toolkit-dev-1.3.5110-r5.apk
168 KiB
lomiri-ui-toolkit-lang-1.3.5110-r5.apk
96 KiB
lomiri-url-dispatcher-0.1.4-r0.apk
46 KiB
lomiri-url-dispatcher-dev-0.1.4-r0.apk
3.1 KiB
lomiri-url-dispatcher-lang-0.1.4-r0.apk
26 KiB
lotide-0.15.0-r0.apk
3.6 MiB
lotide-openrc-0.15.0-r0.apk
2.9 KiB
lout-3.42.2-r0.apk
1.4 MiB
lout-doc-3.42.2-r0.apk
452 KiB
lrcalc-2.1-r1.apk
13 KiB
lrcalc-dev-2.1-r1.apk
11 KiB
lrcalc-libs-2.1-r1.apk
30 KiB
lsdvd-0.17-r0.apk
14 KiB
lsdvd-doc-0.17-r0.apk
2.2 KiB
lshell-0.9.18-r12.apk
36 KiB
lshell-doc-0.9.18-r12.apk
25 KiB
lshell-pyc-0.9.18-r12.apk
35 KiB
lsix-1.8.2-r0.apk
6.3 KiB
lspmux-0.3.0-r0.apk
1.0 MiB
lspmux-doc-0.3.0-r0.apk
6.4 KiB
lua-editorconfig-0.3.0-r0.apk
1.2 KiB
lua-fn-0.1.0-r0.apk
3.4 KiB
lua-inet-0.2.0-r1.apk
8.9 KiB
lua-lcurses-9.0.0-r0.apk
1.1 KiB
lua-libmodbus-0.6.1-r0.apk
1.2 KiB
lua-libmodbus-doc-0.6.1-r0.apk
19 KiB
lua-linenoise-0.9-r1.apk
1.2 KiB
lua-luastatic-0.0.12-r1.apk
1.2 KiB
lua-lupa-1.0-r0.apk
20 KiB
lua-lut-1.2.1-r0.apk
90 KiB
lua-psl-0.3-r0.apk
1.1 KiB
lua-resty-redis-0.29-r0.apk
5.1 KiB
lua-resty-upload-0.11-r0.apk
3.4 KiB
lua-xml-1.1.3-r2.apk
1.2 KiB
lua5.1-lcurses-9.0.0-r0.apk
25 KiB
lua5.1-libguestfs-1.56.1-r0.apk
92 KiB
lua5.1-libmodbus-0.6.1-r0.apk
11 KiB
lua5.1-linenoise-0.9-r1.apk
18 KiB
lua5.1-luacov-html-1.0.0-r1.apk
413 KiB
lua5.1-luastatic-0.0.12-r1.apk
86 KiB
lua5.1-psl-0.3-r0.apk
6.8 KiB
lua5.1-ubus-2025.10.17-r0.apk
9.7 KiB
lua5.1-xml-1.1.3-r2.apk
24 KiB
lua5.2-editorconfig-0.3.0-r0.apk
4.9 KiB
lua5.2-libmodbus-0.6.1-r0.apk
11 KiB
lua5.2-linenoise-0.9-r1.apk
18 KiB
lua5.2-luacov-html-1.0.0-r1.apk
413 KiB
lua5.2-luastatic-0.0.12-r1.apk
8.9 KiB
lua5.2-psl-0.3-r0.apk
6.7 KiB
lua5.2-ubus-2025.10.17-r0.apk
9.7 KiB
lua5.2-xml-1.1.3-r2.apk
23 KiB
lua5.3-editorconfig-0.3.0-r0.apk
5.0 KiB
lua5.3-linenoise-0.9-r1.apk
18 KiB
lua5.3-luacov-html-1.0.0-r1.apk
413 KiB
lua5.3-luastatic-0.0.12-r1.apk
9.0 KiB
lua5.3-psl-0.3-r0.apk
6.7 KiB
lua5.4-editorconfig-0.3.0-r0.apk
5.0 KiB
lua5.4-linenoise-0.9-r1.apk
18 KiB
lua5.4-luastatic-0.0.12-r1.apk
9.0 KiB
luacov-html-1.0.0-r1.apk
1.2 KiB
luapak-0.1.0_beta5-r0.apk
35 KiB
luksmeta-9-r0.apk
15 KiB
luksmeta-dev-9-r0.apk
3.1 KiB
luksmeta-doc-9-r0.apk
5.5 KiB
lumina-desktop-1.6.2-r0.apk
1.2 KiB
lumina-desktop-archiver-1.6.2-r0.apk
166 KiB
lumina-desktop-core-1.6.2-r0.apk
9.0 MiB
lumina-desktop-coreutils-1.6.2-r0.apk
825 KiB
lumina-desktop-doc-1.6.2-r0.apk
12 KiB
lumina-desktop-fileinfo-1.6.2-r0.apk
160 KiB
lumina-desktop-fm-1.6.2-r0.apk
394 KiB
lumina-desktop-mediaplayer-1.6.2-r0.apk
200 KiB
lumina-desktop-photo-1.6.2-r0.apk
126 KiB
lumina-desktop-screenshot-1.6.2-r0.apk
169 KiB
lumina-desktop-sudo-1.6.2-r0.apk
97 KiB
lumina-desktop-textedit-1.6.2-r0.apk
194 KiB
lumins-0.4.0-r2.apk
689 KiB
lutgen-1.0.1-r0.apk
1.9 MiB
lutgen-bash-completion-1.0.1-r0.apk
1.5 KiB
lutgen-doc-1.0.1-r0.apk
4.2 KiB
lutgen-fish-completion-1.0.1-r0.apk
1.5 KiB
lutgen-zsh-completion-1.0.1-r0.apk
1.5 KiB
lutris-0.5.19-r1.apk
819 KiB
lutris-doc-0.5.19-r1.apk
2.1 KiB
lutris-lang-0.5.19-r1.apk
810 KiB
lutris-pyc-0.5.19-r1.apk
1.1 MiB
lv_font_conv-1.5.3-r0.apk
1.1 MiB
lv_font_conv-doc-1.5.3-r0.apk
4.7 KiB
lxappearance-0.6.3-r3.apk
30 KiB
lxappearance-dev-0.6.3-r3.apk
3.0 KiB
lxappearance-doc-0.6.3-r3.apk
2.4 KiB
lxappearance-lang-0.6.3-r3.apk
80 KiB
lxqt-wayland-session-0.3.0-r0.apk
339 KiB
lxqt-wayland-session-doc-0.3.0-r0.apk
29 KiB
lychee-0.19.1-r0.apk
3.0 MiB
lychee-doc-0.19.1-r0.apk
13 KiB
lynis-3.1.4-r0.apk
275 KiB
lynis-bash-completion-3.1.4-r0.apk
2.7 KiB
lynis-doc-3.1.4-r0.apk
50 KiB
lyrics-in-terminal-1.7.0-r0.apk
38 KiB
m2r2-0.3.4-r0.apk
12 KiB
m2r2-pyc-0.3.4-r0.apk
15 KiB
macchina-6.4.0-r0.apk
1.0 MiB
macchina-doc-6.4.0-r0.apk
5.4 KiB
mage-1.13.0-r23.apk
1.5 MiB
magpie-0.9.4-r0.apk
1.9 MiB
magpie-dev-0.9.4-r0.apk
246 KiB
magpie-lang-0.9.4-r0.apk
849 KiB
maildir-rank-addr-1.4.1-r1.apk
3.2 MiB
maildir-rank-addr-doc-1.4.1-r1.apk
2.0 KiB
maildir2rss-0.0.7-r10.apk
3.5 MiB
mailsec-check-0_git20210729-r31.apk
2.5 MiB
makeclapman-2.4.4-r10.apk
1.3 MiB
makeclapman-doc-2.4.4-r10.apk
3.9 KiB
makedumpfile-1.7.8-r0.apk
174 KiB
makedumpfile-doc-1.7.8-r0.apk
23 KiB
makedumpfile-openrc-1.7.8-r0.apk
2.9 KiB
makeself-2.5.0-r0.apk
13 KiB
malcontent-0.13.1-r0.apk
163 KiB
malcontent-dev-0.13.1-r0.apk
24 KiB
malcontent-doc-0.13.1-r0.apk
44 KiB
mame-0.251-r0.apk
100 MiB
mame-arcade-0.251-r0.apk
70 MiB
mame-common-0.251-r0.apk
2.4 KiB
mame-data-0.251-r0.apk
19 MiB
mame-doc-0.251-r0.apk
24 KiB
mame-lang-0.251-r0.apk
1.4 MiB
mame-mess-0.251-r0.apk
54 MiB
mame-plugins-0.251-r0.apk
166 KiB
mame-tools-0.251-r0.apk
2.9 MiB
mangal-4.0.6-r23.apk
10 MiB
mangal-bash-completion-4.0.6-r23.apk
4.7 KiB
mangal-fish-completion-4.0.6-r23.apk
3.7 KiB
mangal-zsh-completion-4.0.6-r23.apk
3.7 KiB
mangowc-0.10.10-r0.apk
119 KiB
mangr0ve-0.1.2-r0.apk
2.5 KiB
mangr0ve-doc-0.1.2-r0.apk
14 KiB
manifest-tool-2.2.0-r6.apk
3.8 MiB
mapnik-4.1.4-r0.apk
12 MiB
mapnik-dev-4.1.4-r0.apk
487 KiB
mapnik-doc-4.1.4-r0.apk
143 KiB
mapserver-8.4.1-r1.apk
1.4 MiB
mapserver-dev-8.4.1-r1.apk
540 KiB
marxan-4.0.7-r1.apk
610 KiB
masky-0.2.0-r2.apk
277 KiB
masky-pyc-0.2.0-r2.apk
63 KiB
mat2-0.13.5-r0.apk
35 KiB
mat2-doc-0.13.5-r0.apk
7.4 KiB
mat2-pyc-0.13.5-r0.apk
54 KiB
materia-20210322-r3.apk
1.4 KiB
materia-chromium-20210322-r3.apk
5.4 KiB
materia-compact-20210322-r3.apk
1.4 KiB
materia-compact-chromium-20210322-r3.apk
5.4 KiB
materia-compact-gnome-shell-20210322-r3.apk
29 KiB
materia-compact-gtk2-20210322-r3.apk
34 KiB
materia-compact-gtk3-20210322-r3.apk
63 KiB
materia-compact-gtk4-20210322-r3.apk
42 KiB
materia-dark-20210322-r3.apk
1.4 KiB
materia-dark-chromium-20210322-r3.apk
5.4 KiB
materia-dark-compact-20210322-r3.apk
1.4 KiB
materia-dark-compact-chromium-20210322-r3.apk
5.5 KiB
materia-dark-compact-gnome-shell-20210322-r3.apk
29 KiB
materia-dark-compact-gtk2-20210322-r3.apk
34 KiB
materia-dark-compact-gtk3-20210322-r3.apk
39 KiB
materia-dark-compact-gtk4-20210322-r3.apk
29 KiB
materia-dark-gnome-shell-20210322-r3.apk
29 KiB
materia-dark-gtk2-20210322-r3.apk
34 KiB
materia-dark-gtk3-20210322-r3.apk
39 KiB
materia-dark-gtk4-20210322-r3.apk
29 KiB
materia-gnome-shell-20210322-r3.apk
29 KiB
materia-gtk-theme-20210322-r3.apk
2.6 KiB
materia-gtk2-20210322-r3.apk
34 KiB
materia-gtk3-20210322-r3.apk
63 KiB
materia-gtk4-20210322-r3.apk
42 KiB
mautrix-linkedin-0.2512.0-r0.apk
6.1 MiB
mautrix-linkedin-doc-0.2512.0-r0.apk
13 KiB
mautrix-linkedin-openrc-0.2512.0-r0.apk
1.7 KiB
mautrix-zulip-0.2511.0-r1.apk
6.2 MiB
mautrix-zulip-doc-0.2511.0-r1.apk
13 KiB
mautrix-zulip-openrc-0.2511.0-r1.apk
1.7 KiB
maxima-5.48.1-r9.apk
25 MiB
maxima-bash-completion-5.48.1-r9.apk
2.1 KiB
maxima-doc-5.48.1-r9.apk
845 KiB
maxima-doc-extra-5.48.1-r9.apk
9.6 MiB
maxima-emacs-5.48.1-r9.apk
110 KiB
mbrola-3.3-r0.apk
24 KiB
mcjoin-2.11-r0.apk
27 KiB
mcjoin-doc-2.11-r0.apk
54 KiB
md5ha1-0_git20171202-r1.apk
8.9 KiB
mdbook-admonish-1.20.0-r0.apk
1.1 MiB
mdbook-alerts-0.8.0-r0.apk
748 KiB
mdbook-katex-0.9.4-r0.apk
1.2 MiB
mdbook-linkcheck-0.7.7-r0.apk
2.8 MiB
mdbook-plantuml-0.8.0-r0.apk
958 KiB
mdnsd-0.12-r1.apk
24 KiB
mdnsd-doc-0.12-r1.apk
14 KiB
mdnsd-libs-0.12-r1.apk
19 KiB
mdnsd-openrc-0.12-r1.apk
1.9 KiB
mdnsd-static-0.12-r1.apk
20 KiB
mdp-1.0.18-r0.apk
17 KiB
mdp-doc-1.0.18-r0.apk
3.6 KiB
mediascanner2-0.118-r4.apk
282 KiB
mediascanner2-systemd-0.118-r4.apk
1.5 KiB
mediastreamer2-5.3.112-r1.apk
388 KiB
mediastreamer2-dev-5.3.112-r1.apk
109 KiB
mediastreamer2-doc-5.3.112-r1.apk
108 KiB
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
12 KiB
mediastreamer2-plugin-x264-20200722-r6.apk
8.8 KiB
meep-1.31.0-r1.apk
661 KiB
meep-dev-1.31.0-r1.apk
548 KiB
megatools-1.11.5.20250706-r0.apk
64 KiB
megatools-bash-completion-1.11.5.20250706-r0.apk
3.8 KiB
megatools-doc-1.11.5.20250706-r0.apk
52 KiB
megazeux-2.93d-r0.apk
1.6 MiB
megazeux-doc-2.93d-r0.apk
465 KiB
melange-0.37.3-r0.apk
12 MiB
melange-bash-completion-0.37.3-r0.apk
6.4 KiB
melange-fish-completion-0.37.3-r0.apk
4.1 KiB
melange-zsh-completion-0.37.3-r0.apk
3.8 KiB
memdump-1.01-r1.apk
5.8 KiB
memdump-doc-1.01-r1.apk
2.9 KiB
menumaker-0.99.14-r1.apk
111 KiB
mergerfs-2.41.1-r0.apk
427 KiB
mergerfs-doc-2.41.1-r0.apk
3.1 KiB
metadata-cleaner-2.5.6-r0.apk
49 KiB
metadata-cleaner-doc-2.5.6-r0.apk
1.9 MiB
metadata-cleaner-lang-2.5.6-r0.apk
66 KiB
metalang99-1.13.3-r0.apk
54 KiB
metricbeat-9.2.0-r1.apk
46 MiB
metricbeat-openrc-9.2.0-r1.apk
1.8 KiB
microsocks-1.0.5-r0.apk
8.5 KiB
microsocks-doc-1.0.5-r0.apk
3.7 KiB
microsocks-openrc-1.0.5-r0.apk
1.6 KiB
milkytracker-1.04.00-r2.apk
1018 KiB
milkytracker-doc-1.04.00-r2.apk
50 KiB
mimedefang-3.6-r1.apk
160 KiB
mimedefang-doc-3.6-r1.apk
81 KiB
mimeo-2023-r2.apk
28 KiB
mimeo-pyc-2023-r2.apk
41 KiB
minidyndns-1.3.0-r3.apk
12 KiB
minidyndns-doc-1.3.0-r3.apk
5.1 KiB
minidyndns-openrc-1.3.0-r3.apk
1.8 KiB
minigalaxy-1.4.0-r0.apk
197 KiB
minigalaxy-pyc-1.4.0-r0.apk
134 KiB
minimodem-0.24-r1.apk
21 KiB
minimodem-doc-0.24-r1.apk
4.9 KiB
minisatip-1.3.4-r0.apk
308 KiB
minisatip-openrc-1.3.4-r0.apk
1.6 KiB
mint-themes-2.3.7-r0.apk
3.8 MiB
mint-themes-doc-2.3.7-r0.apk
14 KiB
mint-x-icons-1.7.5-r0.apk
23 MiB
mint-x-icons-doc-1.7.5-r0.apk
7.6 KiB
mint-x-theme-2.3.7-r0.apk
2.5 KiB
mint-x-theme-gtk2-2.3.7-r0.apk
452 KiB
mint-x-theme-gtk3-2.3.7-r0.apk
597 KiB
mint-x-theme-gtk4-2.3.7-r0.apk
503 KiB
mint-x-theme-metacity-2.3.7-r0.apk
5.8 KiB
mint-x-theme-xfwm4-2.3.7-r0.apk
31 KiB
mint-y-icons-1.9.1-r0.apk
73 MiB
mint-y-icons-doc-1.9.1-r0.apk
12 KiB
mint-y-theme-2.3.7-r0.apk
3.3 KiB
mint-y-theme-gtk2-2.3.7-r0.apk
597 KiB
mint-y-theme-gtk3-2.3.7-r0.apk
2.0 MiB
mint-y-theme-gtk4-2.3.7-r0.apk
1.7 MiB
mint-y-theme-metacity-2.3.7-r0.apk
55 KiB
mint-y-theme-xfwm4-2.3.7-r0.apk
205 KiB
mir-2.25.2-r0.apk
2.5 MiB
mir-demos-2.25.2-r0.apk
154 KiB
mir-dev-2.25.2-r0.apk
8.4 MiB
mir-test-tools-2.25.2-r0.apk
1.3 MiB
miracle-wm-0.8.3-r0.apk
480 KiB
miracle-wm-dev-0.8.3-r0.apk
15 KiB
mirrorhall-0.1.1-r2.apk
26 KiB
mjpg-streamer-0_git20210220-r2.apk
196 KiB
mkbrr-1.18.0-r1.apk
4.0 MiB
mkcert-1.4.4-r24.apk
1.7 MiB
mkdocs-bootstrap-1.1.1-r2.apk
28 KiB
mkdocs-bootstrap-pyc-1.1.1-r2.apk
1.6 KiB
mkdocs-bootstrap386-0.0.2-r5.apk
791 KiB
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
1.6 KiB
mkdocs-bootstrap4-0.1.5-r5.apk
260 KiB
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
1.5 KiB
mkdocs-bootswatch-1.1-r5.apk
538 KiB
mkdocs-bootswatch-pyc-1.1-r5.apk
4.5 KiB
mkdocs-cinder-1.2.0-r5.apk
248 KiB
mkdocs-cinder-pyc-1.2.0-r5.apk
1.5 KiB
mkdocs-cluster-0.0.9-r5.apk
651 KiB
mkdocs-cluster-pyc-0.0.9-r5.apk
1.6 KiB
mkdocs-gitbook-0.0.1-r5.apk
644 KiB
mkdocs-gitbook-pyc-0.0.1-r5.apk
1.6 KiB
mkdocs-ivory-0.4.6-r5.apk
11 KiB
mkdocs-ivory-pyc-0.4.6-r5.apk
1.5 KiB
mkdocs-rtd-dropdown-1.0.2-r5.apk
248 KiB
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
1.6 KiB
mkdocs-windmill-1.0.5-r4.apk
944 KiB
mkdocs-windmill-pyc-1.0.5-r4.apk
1.5 KiB
mkdotenv-0.4.9-r2.apk
927 KiB
mkg3a-0.5.0-r1.apk
18 KiB
mkg3a-doc-0.5.0-r1.apk
2.8 KiB
mkosi-26-r1.apk
283 KiB
mkosi-doc-26-r1.apk
75 KiB
mkosi-pyc-26-r1.apk
438 KiB
mkosi-sandbox-26-r1.apk
13 KiB
mktorrent-borg-0.9.9-r1.apk
11 KiB
mktorrent-borg-doc-0.9.9-r1.apk
2.5 KiB
mlir-next-22.0.0_pre20251226-r0.apk
23 MiB
mlir-next-dev-22.0.0_pre20251226-r0.apk
7.6 MiB
mlir-next-libs-22.0.0_pre20251226-r0.apk
22 MiB
mlir-next-static-22.0.0_pre20251226-r0.apk
52 MiB
mlxl-0.1-r0.apk
6.1 KiB
mm-1.4.2-r1.apk
7.7 KiB
mm-common-1.0.7-r0.apk
414 KiB
mm-common-doc-1.0.7-r0.apk
33 KiB
mm-dev-1.4.2-r1.apk
13 KiB
mm-doc-1.4.2-r1.apk
14 KiB
mmar-0.2.5-r1.apk
2.5 MiB
mml-1.0.0-r1.apk
930 KiB
mml-bash-completion-1.0.0-r1.apk
2.0 KiB
mml-doc-1.0.0-r1.apk
3.5 KiB
mml-fish-completion-1.0.0-r1.apk
2.0 KiB
mml-zsh-completion-1.0.0-r1.apk
2.5 KiB
mnamer-2.5.5-r1.apk
32 KiB
mnamer-pyc-2.5.5-r1.apk
60 KiB
mobpass-0.2-r6.apk
18 KiB
mobpass-pyc-0.2-r6.apk
5.0 KiB
mobroute-0.10.0-r5.apk
4.5 MiB
mobroute-doc-0.10.0-r5.apk
1.3 MiB
modem-manager-gui-0.0.20-r0.apk
350 KiB
modem-manager-gui-doc-0.0.20-r0.apk
3.9 MiB
modem-manager-gui-lang-0.0.20-r0.apk
129 KiB
mods-1.8.1-r3.apk
9.9 MiB
mods-doc-1.8.1-r3.apk
2.0 KiB
moe-1.14-r0.apk
112 KiB
moe-doc-1.14-r0.apk
19 KiB
moka-icon-theme-5.4.0-r2.apk
114 MiB
monetdb-11.33.11-r4.apk
2.4 MiB
monetdb-dev-11.33.11-r4.apk
77 KiB
monetdb-doc-11.33.11-r4.apk
321 KiB
mongo-cxx-driver-3.8.0-r0.apk
187 KiB
mongo-cxx-driver-dev-3.8.0-r0.apk
89 KiB
monopd-0.10.4-r0.apk
92 KiB
monopd-openrc-0.10.4-r0.apk
1.4 KiB
moon-buggy-1.0.51-r1.apk
38 KiB
moon-buggy-doc-1.0.51-r1.apk
6.9 KiB
moosefs-4.56.6-r2.apk
259 KiB
moosefs-cgi-4.56.6-r2.apk
121 KiB
moosefs-cgiserv-4.56.6-r2.apk
7.6 KiB
moosefs-cgiserv-openrc-4.56.6-r2.apk
1.7 KiB
moosefs-chunkserver-4.56.6-r2.apk
181 KiB
moosefs-chunkserver-openrc-4.56.6-r2.apk
1.4 KiB
moosefs-client-4.56.6-r2.apk
629 KiB
moosefs-doc-4.56.6-r2.apk
95 KiB
moosefs-master-4.56.6-r2.apk
367 KiB
moosefs-master-openrc-4.56.6-r2.apk
1.4 KiB
moosefs-metalogger-4.56.6-r2.apk
41 KiB
moosefs-metalogger-openrc-4.56.6-r2.apk
1.4 KiB
moosefs-static-4.56.6-r2.apk
700 KiB
motion-4.7.1-r0.apk
140 KiB
motion-doc-4.7.1-r0.apk
139 KiB
motion-lang-4.7.1-r0.apk
470 KiB
motion-openrc-4.7.1-r0.apk
2.0 KiB
mp3val-0.1.8-r1.apk
14 KiB
mpdcron-0.3-r1.apk
98 KiB
mpdcron-dev-0.3-r1.apk
65 KiB
mpdcron-doc-0.3-r1.apk
13 KiB
mpdcron-zsh-completion-0.3-r1.apk
2.6 KiB
mpdris2-0.9.1-r3.apk
15 KiB
mpdris2-doc-0.9.1-r3.apk
15 KiB
mpdris2-lang-0.9.1-r3.apk
2.3 KiB
mpv-sponsorblock-2.2.0-r0.apk
1.4 MiB
mqtt2prometheus-0.1.7-r21.apk
4.3 MiB
mrsh-0_git20210518-r1.apk
5.5 KiB
mrsh-dbg-0_git20210518-r1.apk
198 KiB
mrsh-dev-0_git20210518-r1.apk
9.7 KiB
mrsh-libs-0_git20210518-r1.apk
64 KiB
msgpuck-2.0-r1.apk
1.2 KiB
msgpuck-dev-2.0-r1.apk
24 KiB
msgpuck-doc-2.0-r1.apk
7.3 KiB
msh-2.5.0-r17.apk
2.8 MiB
msh-openrc-2.5.0-r17.apk
1.7 KiB
mspdebug-0.25-r1.apk
220 KiB
mspdebug-doc-0.25-r1.apk
14 KiB
mtail-3.2.26-r1.apk
13 MiB
mtail-openrc-3.2.26-r1.apk
1.7 KiB
mtg-2.1.7-r26.apk
4.4 MiB
mtg-openrc-2.1.7-r26.apk
1.6 KiB
muse-4.2.1-r2.apk
6.4 MiB
muse-doc-4.2.1-r2.apk
4.1 MiB
musikcube-3.0.5-r0.apk
2.3 MiB
musikcube-dev-3.0.5-r0.apk
19 KiB
musikcube-plugin-all-3.0.5-r0.apk
1.1 KiB
musikcube-plugin-httpdatastream-3.0.5-r0.apk
82 KiB
musikcube-plugin-mpris-3.0.5-r0.apk
22 KiB
musikcube-plugin-openmpt-3.0.5-r0.apk
32 KiB
musikcube-plugin-server-3.0.5-r0.apk
399 KiB
musikcube-plugin-stockencoders-3.0.5-r0.apk
22 KiB
musikcube-plugin-supereqdsp-3.0.5-r0.apk
30 KiB
musikcube-plugin-taglibreader-3.0.5-r0.apk
38 KiB
mxclient-0_git20211002-r1.apk
78 KiB
n30f-2.0-r3.apk
7.1 KiB
nano-hare-0_git20231021-r0.apk
1.9 KiB
nautilus-python-4.0.1-r0.apk
10 KiB
nautilus-python-dev-4.0.1-r0.apk
1.4 KiB
nautilus-python-doc-4.0.1-r0.apk
4.0 KiB
nb-7.19.1-r0.apk
152 KiB
nb-bash-completion-7.19.1-r0.apk
2.7 KiB
nb-doc-7.19.1-r0.apk
77 KiB
nb-fish-completion-7.19.1-r0.apk
2.5 KiB
nb-full-7.19.1-r0.apk
1.0 KiB
nb-zsh-completion-7.19.1-r0.apk
2.7 KiB
nbsdgames-5-r0.apk
144 KiB
nbsdgames-doc-5-r0.apk
9.4 KiB
ndpi-4.10-r0.apk
1.4 MiB
ndpi-dev-4.10-r0.apk
936 KiB
neard-0.19-r0.apk
136 KiB
neard-dev-0.19-r0.apk
11 KiB
neard-doc-0.19-r0.apk
5.3 KiB
neard-openrc-0.19-r0.apk
1.4 KiB
neko-2.3.0-r0.apk
468 KiB
neko-dev-2.3.0-r0.apk
10 KiB
neko-doc-2.3.0-r0.apk
20 KiB
nemo-gtkhash-plugin-1.5-r0.apk
23 KiB
neo4j-client-2.2.0-r3.apk
30 KiB
neo4j-client-doc-2.2.0-r3.apk
5.4 KiB
neocmakelsp-0.9.1-r0.apk
1.7 MiB
neocmakelsp-bash-completion-0.9.1-r0.apk
1.7 KiB
neocmakelsp-doc-0.9.1-r0.apk
5.6 KiB
neocmakelsp-fish-completion-0.9.1-r0.apk
1.4 KiB
neocmakelsp-zsh-completion-0.9.1-r0.apk
1.7 KiB
neofetch-7.1.0-r2.apk
85 KiB
neofetch-doc-7.1.0-r2.apk
5.9 KiB
net-predictable-1.5.1-r5.apk
941 KiB
net-predictable-doc-1.5.1-r5.apk
2.0 KiB
netdiscover-0.21-r0.apk
459 KiB
netdiscover-doc-0.21-r0.apk
3.9 KiB
netscanner-0.5.1-r1.apk
3.7 MiB
netscanner-doc-0.5.1-r1.apk
3.0 KiB
netsed-1.4-r0.apk
10 KiB
networkmanager-dmenu-2.6.1-r1.apk
14 KiB
networkmanager-dmenu-doc-2.6.1-r1.apk
6.5 KiB
newsyslog-1.2.0.91-r1.apk
18 KiB
newsyslog-doc-1.2.0.91-r1.apk
24 KiB
nextpnr-0.7-r0.apk
1.2 KiB
nextpnr-ecp5-0.7-r0.apk
26 MiB
nextpnr-generic-0.7-r0.apk
795 KiB
nextpnr-gowin-0.7-r0.apk
1.5 MiB
nextpnr-ice40-0.7-r0.apk
69 MiB
nfcd-1.2.4-r0.apk
369 KiB
nfcd-dev-1.2.4-r0.apk
24 KiB
nfcd-systemd-1.2.4-r0.apk
1.6 KiB
nfoview-2.1-r0.apk
38 KiB
nfoview-doc-2.1-r0.apk
7.7 KiB
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
712 KiB
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
21 KiB
ngs-0.2.14-r0.apk
295 KiB
ngs-aws-0.2.14-r0.apk
33 KiB
ngs-vim-0.2.14-r0.apk
4.9 KiB
nicotine-plus-3.3.10-r0.apk
1.6 MiB
nicotine-plus-doc-3.3.10-r0.apk
2.3 KiB
nicotine-plus-lang-3.3.10-r0.apk
757 KiB
nicotine-plus-pyc-3.3.10-r0.apk
789 KiB
nitro-2.7_beta8-r2.apk
528 KiB
nitro-dev-2.7_beta8-r2.apk
190 KiB
nitro-init-0.6-r0.apk
23 KiB
nitro-init-doc-0.6-r0.apk
4.3 KiB
nkk-0_git20221010-r0.apk
16 KiB
nkk-dev-0_git20221010-r0.apk
2.6 KiB
nkk-doc-0_git20221010-r0.apk
6.7 KiB
nm-tray-0.5.1-r0.apk
104 KiB
nm-tray-lang-0.5.1-r0.apk
27 KiB
nmap-parse-output-1.5.1-r1.apk
20 KiB
nmap-parse-output-bash-completion-1.5.1-r1.apk
1.7 KiB
nmap-parse-output-doc-1.5.1-r1.apk
807 KiB
noblenote-1.2.1-r1.apk
403 KiB
node-libpg-query-13.1.2-r5.apk
19 KiB
noggin-0.1-r23.apk
1.4 MiB
noggin-doc-0.1-r23.apk
2.7 KiB
noggin-model-0.1-r0.apk
12 MiB
noggin-model-lightweight-0.1-r0.apk
1.7 MiB
noice-0.8-r1.apk
9.7 KiB
noice-doc-0.8-r1.apk
3.1 KiB
nom-2.8.0-r8.apk
6.8 MiB
nom-doc-2.8.0-r8.apk
3.8 KiB
nomadnet-0.8.0-r0.apk
143 KiB
nomadnet-pyc-0.8.0-r0.apk
284 KiB
normaliz-3.10.4-r3.apk
44 KiB
normaliz-dev-3.10.4-r3.apk
72 KiB
normaliz-libs-3.10.4-r3.apk
3.1 MiB
notification-daemon-3.20.0-r1.apk
61 KiB
nrf5-sdk-17.1.0-r0.apk
47 MiB
nrf5-sdk-doc-17.1.0-r0.apk
3.4 KiB
nsh-0.4.2-r1.apk
646 KiB
nsh-dbg-0.4.2-r1.apk
3.3 MiB
nsnake-3.0.0-r0.apk
9.9 KiB
nsnake-doc-3.0.0-r0.apk
2.6 KiB
nsq-1.3.0-r10.apk
24 MiB
ntpd-rs-1.6.2-r2.apk
3.3 MiB
ntpd-rs-doc-1.6.2-r2.apk
24 KiB
ntpd-rs-openrc-1.6.2-r2.apk
1.7 KiB
nuklear-4.12.0-r0.apk
220 KiB
nuklear-doc-4.12.0-r0.apk
42 KiB
nullmailer-2.2-r4.apk
141 KiB
nullmailer-doc-2.2-r4.apk
10 KiB
nullmailer-openrc-2.2-r4.apk
1.6 KiB
numbat-1.16.0-r0.apk
1.8 MiB
numbat-doc-1.16.0-r0.apk
32 KiB
nuzzle-1.6-r0.apk
12 KiB
nuzzle-doc-1.6-r0.apk
2.9 KiB
nvim-cmp-0.0.0_git20221011-r1.apk
55 KiB
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
7.5 KiB
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
3.9 KiB
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
3.1 KiB
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
1.5 KiB
nvim-cmp-doc-0.0.0_git20221011-r1.apk
10 KiB
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
3.2 KiB
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2.3 KiB
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
3.3 KiB
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
1.8 KiB
nvim-cmp-path-0.0.0_git20221002-r1.apk
3.5 KiB
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
1.7 KiB
nvimpager-0.12.0-r0.apk
12 KiB
nvimpager-doc-0.12.0-r0.apk
4.2 KiB
nvimpager-zsh-completion-0.12.0-r0.apk
1.6 KiB
nvtop-3.2.0-r0.apk
66 KiB
nvtop-doc-3.2.0-r0.apk
3.3 KiB
nwg-bar-0.1.6-r15.apk
1.6 MiB
nwg-displays-0.3.26-r0.apk
26 KiB
nwg-displays-pyc-0.3.26-r0.apk
36 KiB
nwg-dock-0.4.3-r5.apk
1.7 MiB
nwg-look-1.0.6-r1.apk
1.4 MiB
nwg-look-doc-1.0.6-r1.apk
3.9 KiB
nwg-panel-0.10.13-r0.apk
288 KiB
nwg-panel-doc-0.10.13-r0.apk
4.2 KiB
nwg-panel-pyc-0.10.13-r0.apk
270 KiB
nwipe-0.39-r0.apk
272 KiB
nwipe-doc-0.39-r0.apk
3.5 KiB
nymphcast-mediaserver-0.1-r4.apk
74 KiB
nymphcast-mediaserver-nftables-0.1-r4.apk
1.4 KiB
nyuu-0.4.2-r1.apk
614 KiB
nzbget-25.4-r0.apk
4.9 MiB
nzbget-openrc-25.4-r0.apk
1.8 KiB
oauth2-proxy-7.11.0-r4.apk
8.3 MiB
oauth2-proxy-openrc-7.11.0-r4.apk
1.9 KiB
objconv-2.52_git20210213-r2.apk
276 KiB
oblibs-0.3.4.0-r0.apk
35 KiB
oblibs-dbg-0.3.4.0-r0.apk
92 KiB
oblibs-dev-0.3.4.0-r0.apk
237 KiB
obnc-0.17.2-r0.apk
148 KiB
obnc-doc-0.17.2-r0.apk
33 KiB
ocaml-camlpdf-2.8.1-r0.apk
6.1 MiB
ocaml-camomile-1.0.2-r3.apk
1.3 MiB
ocaml-camomile-data-1.0.2-r3.apk
5.1 MiB
ocaml-camomile-dev-1.0.2-r3.apk
2.5 MiB
ocaml-charinfo_width-1.1.0-r3.apk
106 KiB
ocaml-charinfo_width-dev-1.1.0-r3.apk
196 KiB
ocaml-cpdf-2.8.1-r0.apk
5.0 MiB
ocaml-fileutils-0.6.4-r2.apk
319 KiB
ocaml-fileutils-dev-0.6.4-r2.apk
614 KiB
ocaml-fileutils-doc-0.6.4-r2.apk
16 KiB
ocaml-gettext-0.4.2-r3.apk
3.6 MiB
ocaml-gettext-dev-0.4.2-r3.apk
746 KiB
ocaml-gettext-doc-0.4.2-r3.apk
19 KiB
ocaml-lambda-term-3.2.0-r4.apk
3.6 MiB
ocaml-lambda-term-dev-3.2.0-r4.apk
3.9 MiB
ocaml-lambda-term-doc-3.2.0-r4.apk
8.5 KiB
ocaml-libvirt-0.6.1.7-r0.apk
177 KiB
ocaml-libvirt-dev-0.6.1.7-r0.apk
108 KiB
ocaml-libvirt-doc-0.6.1.7-r0.apk
14 KiB
ocaml-mew-0.1.0-r3.apk
71 KiB
ocaml-mew-dev-0.1.0-r3.apk
99 KiB
ocaml-mew_vi-0.5.0-r3.apk
187 KiB
ocaml-mew_vi-dev-0.5.0-r3.apk
302 KiB
ocaml-mqtt-0.2.2-r0.apk
159 KiB
ocaml-mqtt-dev-0.2.2-r0.apk
266 KiB
ocaml-obuild-0.1.11-r0.apk
1.1 MiB
ocaml-omake-0.10.6-r0.apk
1.7 MiB
ocaml-omake-doc-0.10.6-r0.apk
7.8 KiB
ocaml-ptmap-2.0.5-r3.apk
54 KiB
ocaml-ptmap-dev-2.0.5-r3.apk
96 KiB
ocaml-qcheck-0.18.1-r3.apk
681 KiB
ocaml-qcheck-dev-0.18.1-r3.apk
1.4 MiB
ocaml-qtest-2.11.2-r3.apk
351 KiB
ocaml-qtest-dev-2.11.2-r3.apk
3.4 KiB
ocaml-reason-3.8.2-r2.apk
16 MiB
ocaml-reason-dev-3.8.2-r2.apk
29 MiB
ocaml-sha-1.15.4-r0.apk
74 KiB
ocaml-sha-dev-1.15.4-r0.apk
231 KiB
ocaml-trie-1.0.0-r2.apk
16 KiB
ocaml-trie-dev-1.0.0-r2.apk
26 KiB
ocaml-utop-2.9.1-r4.apk
349 KiB
ocaml-utop-dev-2.9.1-r4.apk
762 KiB
ocaml-zed-3.1.0-r3.apk
526 KiB
ocaml-zed-dev-3.1.0-r3.apk
1.2 MiB
ocaml5-llvm-next-22.0.0_pre20251226-r0.apk
699 KiB
ocfs2-tools-1.8.7-r4.apk
1.2 MiB
ocfs2-tools-dev-1.8.7-r4.apk
45 KiB
ocfs2-tools-doc-1.8.7-r4.apk
69 KiB
octoprint-1.10.3-r0.apk
3.0 MiB
octoprint-creality2xfix-0.0.4-r2.apk
4.5 KiB
octoprint-creality2xfix-pyc-0.0.4-r2.apk
3.1 KiB
octoprint-filecheck-2025.7.23-r0.apk
28 KiB
octoprint-filecheck-pyc-2025.7.23-r0.apk
11 KiB
octoprint-firmwarecheck-2025.7.23-r0.apk
28 KiB
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk
17 KiB
octoprint-openrc-1.10.3-r0.apk
1.4 KiB
octoprint-pisupport-2025.7.23-r0.apk
31 KiB
octoprint-pisupport-pyc-2025.7.23-r0.apk
14 KiB
octoprint-pyc-1.10.3-r0.apk
1.2 MiB
oils-for-unix-0.35.0-r0.apk
672 KiB
oils-for-unix-bash-0.35.0-r0.apk
1.4 KiB
oils-for-unix-binsh-0.35.0-r0.apk
1.3 KiB
oils-for-unix-doc-0.35.0-r0.apk
6.8 KiB
ol-2.6-r0.apk
1.0 MiB
ol-dev-2.6-r0.apk
16 KiB
ol-doc-2.6-r0.apk
2.3 KiB
olsrd-0.9.8-r3.apk
168 KiB
olsrd-doc-0.9.8-r3.apk
25 KiB
olsrd-openrc-0.9.8-r3.apk
1.6 KiB
olsrd-plugins-0.9.8-r3.apk
199 KiB
onioncat-4.11.0-r1.apk
64 KiB
onioncat-doc-4.11.0-r1.apk
19 KiB
onionshare-2.6.3-r1.apk
179 KiB
onionshare-desktop-2.6.3-r1.apk
1.3 MiB
onionshare-pyc-2.6.3-r1.apk
240 KiB
oniux-0.6.1-r0.apk
6.1 MiB
oniux-doc-0.6.1-r0.apk
3.0 KiB
opcr-policy-0.3.1-r1.apk
9.6 MiB
openapi-tui-0.9.4-r1.apk
4.1 MiB
openapi-validator-1.19.2-r0.apk
9.9 MiB
opendht-3.1.11-r0.apk
182 KiB
opendht-dev-3.1.11-r0.apk
71 KiB
opendht-doc-3.1.11-r0.apk
2.8 KiB
opendht-libs-3.1.11-r0.apk
584 KiB
openfire-4.8.1-r1.apk
46 MiB
openfire-doc-4.8.1-r1.apk
3.8 MiB
openfire-openrc-4.8.1-r1.apk
1.7 KiB
openfire-plugins-4.8.1-r1.apk
72 KiB
openfortivpn-1.22.1-r0.apk
41 KiB
openfortivpn-doc-1.22.1-r0.apk
6.0 KiB
openfpgaloader-0.11.0-r0.apk
2.0 MiB
openocd-esp32-0_git20250707-r2.apk
1.9 MiB
openocd-esp32-dev-0_git20250707-r2.apk
3.4 KiB
openocd-esp32-doc-0_git20250707-r2.apk
3.0 KiB
openocd-esp32-udev-0_git20250707-r2.apk
3.2 KiB
openocd-git-0_git20251018-r1.apk
1.7 MiB
openocd-git-cmd-openocd-0_git20251018-r1.apk
1.3 KiB
openocd-git-dbg-0_git20251018-r1.apk
4.2 MiB
openocd-git-dev-0_git20251018-r1.apk
3.1 KiB
openocd-git-doc-0_git20251018-r1.apk
3.0 KiB
openocd-git-udev-0_git20251018-r1.apk
3.3 KiB
openocd-riscv-0_git20230104-r2.apk
1.5 MiB
openocd-riscv-dev-0_git20230104-r2.apk
3.5 KiB
openocd-riscv-doc-0_git20230104-r2.apk
3.0 KiB
openocd-riscv-udev-rules-0_git20230104-r2.apk
3.0 KiB
openrdap-0.9.1-r0.apk
3.2 MiB
openrdap-doc-0.9.1-r0.apk
2.0 KiB
openscap-daemon-0.1.10-r9.apk
60 KiB
openscap-daemon-doc-0.1.10-r9.apk
17 KiB
openscap-daemon-pyc-0.1.10-r9.apk
102 KiB
openswitcher-0.5.0-r4.apk
148 KiB
openswitcher-proxy-0.5.0-r4.apk
9.1 KiB
openswitcher-proxy-openrc-0.5.0-r4.apk
1.8 KiB
opentelemetry-cpp-1.24.0-r0.apk
660 KiB
opentelemetry-cpp-dev-1.24.0-r0.apk
521 KiB
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
49 KiB
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
68 KiB
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
96 KiB
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
54 KiB
openterface-qt-0.5.9-r0.apk
1.1 MiB
openvpn3-3.8.5-r1.apk
398 KiB
openvpn3-dev-3.8.5-r1.apk
667 KiB
openwsman-2.8.1-r1.apk
49 KiB
openwsman-dev-2.8.1-r1.apk
55 KiB
openwsman-doc-2.8.1-r1.apk
2.1 KiB
openwsman-libs-2.8.1-r1.apk
330 KiB
opkg-0.7.0-r0.apk
10 KiB
opkg-dev-0.7.0-r0.apk
122 KiB
opkg-doc-0.7.0-r0.apk
7.4 KiB
opkg-libs-0.7.0-r0.apk
83 KiB
opkg-utils-0.7.0-r0.apk
25 KiB
opkg-utils-doc-0.7.0-r0.apk
3.4 KiB
opmsg-1.84-r1.apk
294 KiB
oppa-1.1.0-r0.apk
572 KiB
orage-4.21.0-r0.apk
603 KiB
orage-lang-4.21.0-r0.apk
1.2 MiB
organicmaps-2025.09.05.1-r0.apk
127 MiB
osmctools-0.9-r0.apk
125 KiB
ostui-1.0.4-r0.apk
4.7 MiB
ostui-doc-1.0.4-r0.apk
28 KiB
otf-atkinson-hyperlegible-2020.0514-r1.apk
101 KiB
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
47 KiB
otpclient-4.1.1-r0.apk
124 KiB
otpclient-doc-4.1.1-r0.apk
3.4 KiB
otrs-6.0.48-r2.apk
29 MiB
otrs-apache2-6.0.48-r2.apk
3.8 KiB
otrs-bash-completion-6.0.48-r2.apk
2.1 KiB
otrs-dev-6.0.48-r2.apk
3.9 MiB
otrs-doc-6.0.48-r2.apk
795 KiB
otrs-fastcgi-6.0.48-r2.apk
1.5 KiB
otrs-nginx-6.0.48-r2.apk
1.5 KiB
otrs-openrc-6.0.48-r2.apk
1.6 KiB
otrs-setup-6.0.48-r2.apk
107 KiB
ouch-0.6.1-r0.apk
1.7 MiB
ouch-bash-completion-0.6.1-r0.apk
2.2 KiB
ouch-doc-0.6.1-r0.apk
3.6 KiB
ouch-fish-completion-0.6.1-r0.apk
2.8 KiB
ouch-zsh-completion-0.6.1-r0.apk
2.9 KiB
ovhcloud-cli-0.9.0-r0.apk
7.0 MiB
ovn-25.09.2-r0.apk
2.1 MiB
ovn-dbg-25.09.2-r0.apk
6.5 MiB
ovn-dev-25.09.2-r0.apk
1.9 MiB
ovn-doc-25.09.2-r0.apk
263 KiB
ovn-openrc-25.09.2-r0.apk
2.1 KiB
ovos-audio-1.0.1-r0.apk
136 KiB
ovos-audio-pyc-1.0.1-r0.apk
36 KiB
ovos-core-2.1.0-r0.apk
49 KiB
ovos-core-pyc-2.1.0-r0.apk
58 KiB
ovos-gui-1.3.3-r0.apk
38 KiB
ovos-gui-pyc-1.3.3-r0.apk
38 KiB
ovos-messagebus-0.0.10-r0.apk
9.7 KiB
ovos-messagebus-pyc-0.0.10-r0.apk
6.4 KiB
ovos-phal-0.2.10-r0.apk
10 KiB
ovos-phal-pyc-0.2.10-r0.apk
7.0 KiB
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
45 KiB
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
3.7 KiB
ovpncc-0.1_rc1-r0.apk
12 KiB
ovpncc-doc-0.1_rc1-r0.apk
6.3 KiB
oxygen-icons-6.1.0-r0.apk
32 MiB
p0f-3.09b-r3.apk
78 KiB
p0f-doc-3.09b-r3.apk
25 KiB
p910nd-0.97-r2.apk
8.8 KiB
p910nd-doc-0.97-r2.apk
3.0 KiB
p910nd-openrc-0.97-r2.apk
1.8 KiB
packwiz-0_git20251102-r1.apk
4.6 MiB
packwiz-doc-0_git20251102-r1.apk
2.0 KiB
pacparser-1.4.5-r1.apk
729 KiB
pacparser-dev-1.4.5-r1.apk
3.3 KiB
pacparser-doc-1.4.5-r1.apk
18 KiB
paged-markdown-3-pdf-0.1.3-r0.apk
386 KiB
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
1.6 KiB
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
1.5 KiB
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
1.6 KiB
pam-krb5-4.11-r1.apk
23 KiB
pam-krb5-doc-4.11-r1.apk
23 KiB
pam-pkcs11-0.6.13-r1.apk
277 KiB
pam-pkcs11-doc-0.6.13-r1.apk
14 KiB
pam-pkcs11-systemd-0.6.13-r1.apk
1.5 KiB
pam_sqlite3-1.0.2-r2.apk
8.8 KiB
pamtester-0.1.2-r4.apk
9.2 KiB
pamtester-doc-0.1.2-r4.apk
2.6 KiB
pandora_box-0.18.0-r0.apk
466 KiB
pantalaimon-0.10.5-r4.apk
44 KiB
pantalaimon-doc-0.10.5-r4.apk
6.1 KiB
pantalaimon-pyc-0.10.5-r4.apk
83 KiB
pantalaimon-ui-0.10.5-r4.apk
1.5 KiB
paperde-0.3.0-r2.apk
630 KiB
paperde-dev-0.3.0-r2.apk
4.7 KiB
paperkey-1.6-r2.apk
17 KiB
paperkey-doc-1.6-r2.apk
4.2 KiB
paprefs-1.2-r2.apk
31 KiB
paprefs-lang-1.2-r2.apk
38 KiB
par-1.53.0-r1.apk
14 KiB
par-doc-1.53.0-r1.apk
30 KiB
par2cmdline-turbo-1.3.0-r0.apk
168 KiB
par2cmdline-turbo-doc-1.3.0-r0.apk
5.6 KiB
parcellite-1.2.5-r0.apk
227 KiB
parcellite-doc-1.2.5-r0.apk
25 KiB
parcellite-lang-1.2.5-r0.apk
48 KiB
parpar-0.4.5-r1.apk
1.4 MiB
parse-changelog-0.6.12-r0.apk
556 KiB
pash-2.3.0-r2.apk
4.0 KiB
pasystray-0.8.2-r0.apk
47 KiB
pasystray-doc-0.8.2-r0.apk
3.1 KiB
pathvector-6.3.2-r18.apk
3.8 MiB
pcl-1.14.1-r0.apk
525 KiB
pcl-dev-1.14.1-r0.apk
387 KiB
pcl-libs-1.14.1-r0.apk
1.4 MiB
pdal-python-plugins-1.6.5-r0.apk
247 KiB
pdf2svg-0.2.4-r0.apk
4.8 KiB
pdfcrack-0.21-r0.apk
35 KiB
pebble-le-0.3.0-r2.apk
66 KiB
pebble-le-dev-0.3.0-r2.apk
44 KiB
pebble-le-doc-0.3.0-r2.apk
3.4 KiB
peervpn-0.044-r5.apk
40 KiB
peervpn-openrc-0.044-r5.apk
1.8 KiB
peg-0.1.18-r1.apk
42 KiB
peg-doc-0.1.18-r1.apk
13 KiB
pegasus-frontend-16_alpha-r0.apk
1.2 MiB
pegasus-frontend-doc-16_alpha-r0.apk
16 KiB
percona-toolkit-3.5.4-r1.apk
1.8 MiB
percona-toolkit-doc-3.5.4-r1.apk
297 KiB
perl-adapter-async-0.019-r0.apk
7.9 KiB
perl-adapter-async-doc-0.019-r0.apk
16 KiB
perl-algorithm-backoff-0.010-r0.apk
9.3 KiB
perl-algorithm-backoff-doc-0.010-r0.apk
29 KiB
perl-algorithm-c3-0.11-r1.apk
5.4 KiB
perl-algorithm-c3-doc-0.11-r1.apk
4.8 KiB
perl-algorithm-cron-0.10-r4.apk
5.8 KiB
perl-algorithm-cron-doc-0.10-r4.apk
4.3 KiB
perl-algorithm-evolutionary-0.82.1-r0.apk
78 KiB
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
148 KiB
perl-algorithm-permute-0.17-r1.apk
13 KiB
perl-algorithm-permute-doc-0.17-r1.apk
4.9 KiB
perl-aliased-0.34-r4.apk
5.4 KiB
perl-aliased-doc-0.34-r4.apk
5.4 KiB
perl-alien-base-modulebuild-1.17-r0.apk
22 KiB
perl-alien-base-modulebuild-doc-1.17-r0.apk
53 KiB
perl-alien-libgumbo-0.05-r1.apk
577 KiB
perl-alien-libgumbo-doc-0.05-r1.apk
4.6 KiB
perl-anyevent-dbus-0.31-r0.apk
3.2 KiB
perl-anyevent-dbus-doc-0.31-r0.apk
3.5 KiB
perl-anyevent-dns-etchosts-0.0105-r0.apk
4.8 KiB
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
3.7 KiB
perl-anyevent-riperedis-0.48-r0.apk
12 KiB
perl-anyevent-riperedis-doc-0.48-r0.apk
10 KiB
perl-archive-any-lite-0.11-r0.apk
3.9 KiB
perl-archive-any-lite-doc-0.11-r0.apk
3.4 KiB
perl-archive-extract-0.88-r1.apk
16 KiB
perl-archive-extract-doc-0.88-r1.apk
6.6 KiB
perl-array-diff-0.09-r0.apk
3.1 KiB
perl-array-diff-doc-0.09-r0.apk
3.6 KiB
perl-asa-1.04-r0.apk
4.1 KiB
perl-asa-doc-1.04-r0.apk
4.7 KiB
perl-astro-0.78-r0.apk
32 KiB
perl-astro-coords-0.23-r0.apk
52 KiB
perl-astro-coords-doc-0.23-r0.apk
40 KiB
perl-astro-doc-0.78-r0.apk
14 KiB
perl-astro-montenbruck-1.26-r0.apk
53 KiB
perl-astro-montenbruck-doc-1.26-r0.apk
59 KiB
perl-astro-pal-1.09-r0.apk
224 KiB
perl-astro-pal-doc-1.09-r0.apk
7.1 KiB
perl-astro-satpass-0.134-r0.apk
218 KiB
perl-astro-satpass-doc-0.134-r0.apk
133 KiB
perl-astro-telescope-0.71-r0.apk
48 KiB
perl-astro-telescope-doc-0.71-r0.apk
4.7 KiB
perl-autobox-3.0.2-r1.apk
20 KiB
perl-autobox-doc-3.0.2-r1.apk
8.6 KiB
perl-b-hooks-op-check-0.22-r1.apk
6.8 KiB
perl-b-hooks-op-check-doc-0.22-r1.apk
3.5 KiB
perl-b-utils-0.27-r1.apk
20 KiB
perl-b-utils-doc-0.27-r1.apk
9.3 KiB
perl-badger-0.16-r1.apk
253 KiB
perl-badger-doc-0.16-r1.apk
262 KiB
perl-barcode-zbar-0.10-r4.apk
29 KiB
perl-barcode-zbar-doc-0.10-r4.apk
12 KiB
perl-bareword-filehandles-0.007-r1.apk
6.1 KiB
perl-bareword-filehandles-doc-0.007-r1.apk
3.0 KiB
perl-bind-config-parser-0.01-r5.apk
3.6 KiB
perl-bind-config-parser-doc-0.01-r5.apk
3.4 KiB
perl-bsd-resource-1.2911-r11.apk
20 KiB
perl-bsd-resource-doc-1.2911-r11.apk
7.7 KiB
perl-bytes-random-secure-0.29-r0.apk
14 KiB
perl-bytes-random-secure-doc-0.29-r0.apk
12 KiB
perl-cache-lru-0.04-r0.apk
2.7 KiB
perl-cache-lru-doc-0.04-r0.apk
3.0 KiB
perl-carp-assert-more-2.9.0-r0.apk
8.7 KiB
perl-carp-assert-more-doc-2.9.0-r0.apk
7.5 KiB
perl-carp-repl-0.18-r0.apk
5.7 KiB
perl-carp-repl-doc-0.18-r0.apk
5.6 KiB
perl-catalyst-action-renderview-0.17-r0.apk
3.5 KiB
perl-catalyst-action-renderview-doc-0.17-r0.apk
3.8 KiB
perl-catalyst-action-rest-1.21-r0.apk
25 KiB
perl-catalyst-action-rest-doc-1.21-r0.apk
27 KiB
perl-catalyst-actionrole-acl-0.07-r0.apk
4.1 KiB
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
5.5 KiB
perl-catalyst-authentication-credential-http-1.018-r0.apk
8.3 KiB
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
6.5 KiB
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
13 KiB
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
14 KiB
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2.5 KiB
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
3.0 KiB
perl-catalyst-controller-actionrole-0.17-r0.apk
4.7 KiB
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
4.2 KiB
perl-catalyst-devel-1.42-r0.apk
54 KiB
perl-catalyst-devel-doc-1.42-r0.apk
11 KiB
perl-catalyst-manual-5.9013-r0.apk
2.4 KiB
perl-catalyst-manual-doc-5.9013-r0.apk
375 KiB
perl-catalyst-model-adaptor-0.10-r0.apk
6.2 KiB
perl-catalyst-model-adaptor-doc-0.10-r0.apk
12 KiB
perl-catalyst-model-dbic-schema-0.66-r0.apk
19 KiB
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
18 KiB
perl-catalyst-plugin-authentication-0.10024-r0.apk
32 KiB
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
56 KiB
perl-catalyst-plugin-configloader-0.35-r0.apk
5.1 KiB
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
10 KiB
perl-catalyst-plugin-i18n-0.10-r0.apk
3.9 KiB
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
13 KiB
perl-catalyst-plugin-session-0.43-r0.apk
14 KiB
perl-catalyst-plugin-session-doc-0.43-r0.apk
25 KiB
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
4.6 KiB
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
4.6 KiB
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
5.6 KiB
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
6.2 KiB
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
4.2 KiB
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
4.3 KiB
perl-catalyst-plugin-session-store-file-0.18-r0.apk
3.4 KiB
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
3.8 KiB
perl-catalyst-plugin-stacktrace-0.12-r0.apk
4.4 KiB
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
3.6 KiB
perl-catalyst-plugin-static-simple-0.37-r0.apk
8.4 KiB
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
7.2 KiB
perl-catalyst-runtime-5.90132-r0.apk
149 KiB
perl-catalyst-runtime-doc-5.90132-r0.apk
216 KiB
perl-catalyst-view-email-0.36-r0.apk
8.8 KiB
perl-catalyst-view-email-doc-0.36-r0.apk
10 KiB
perl-catalyst-view-tt-0.46-r0.apk
13 KiB
perl-catalyst-view-tt-doc-0.46-r0.apk
12 KiB
perl-catalystx-component-traits-0.19-r0.apk
4.1 KiB
perl-catalystx-component-traits-doc-0.19-r0.apk
3.7 KiB
perl-catalystx-injectcomponent-0.025-r0.apk
3.2 KiB
perl-catalystx-injectcomponent-doc-0.025-r0.apk
3.3 KiB
perl-catalystx-leakchecker-0.06-r0.apk
3.1 KiB
perl-catalystx-leakchecker-doc-0.06-r0.apk
3.3 KiB
perl-catalystx-profile-0.02-r0.apk
2.8 KiB
perl-catalystx-profile-doc-0.02-r0.apk
4.3 KiB
perl-catalystx-repl-0.04-r0.apk
3.0 KiB
perl-catalystx-repl-doc-0.04-r0.apk
3.4 KiB
perl-catalystx-simplelogin-0.21-r0.apk
10 KiB
perl-catalystx-simplelogin-doc-0.21-r0.apk
24 KiB
perl-cgi-expand-2.05-r4.apk
6.6 KiB
perl-cgi-expand-doc-2.05-r4.apk
5.9 KiB
perl-cgi-simple-1.282-r0.apk
55 KiB
perl-cgi-simple-doc-1.282-r0.apk
42 KiB
perl-cgi-struct-1.21-r0.apk
7.6 KiB
perl-cgi-struct-doc-1.21-r0.apk
6.5 KiB
perl-check-unitcheck-0.13-r2.apk
6.2 KiB
perl-check-unitcheck-doc-0.13-r2.apk
3.4 KiB
perl-class-accessor-grouped-0.10014-r2.apk
12 KiB
perl-class-accessor-grouped-doc-0.10014-r2.apk
7.2 KiB
perl-class-c3-0.35-r1.apk
9.2 KiB
perl-class-c3-adopt-next-0.14-r0.apk
4.8 KiB
perl-class-c3-adopt-next-doc-0.14-r0.apk
4.4 KiB
perl-class-c3-componentised-1.001002-r2.apk
5.3 KiB
perl-class-c3-componentised-doc-1.001002-r2.apk
5.1 KiB
perl-class-c3-doc-0.35-r1.apk
9.0 KiB
perl-class-unload-0.11-r0.apk
2.3 KiB
perl-class-unload-doc-0.11-r0.apk
2.8 KiB
perl-cli-osprey-0.08-r0.apk
12 KiB
perl-cli-osprey-doc-0.08-r0.apk
12 KiB
perl-clipboard-0.32-r1.apk
10 KiB
perl-clipboard-doc-0.32-r1.apk
27 KiB
perl-color-ansi-util-0.165-r0.apk
7.0 KiB
perl-color-ansi-util-doc-0.165-r0.apk
4.9 KiB
perl-color-rgb-util-0.609-r0.apk
9.2 KiB
perl-color-rgb-util-doc-0.609-r0.apk
7.1 KiB
perl-conf-libconfig-1.0.3-r2.apk
24 KiB
perl-conf-libconfig-doc-1.0.3-r2.apk
5.2 KiB
perl-constant-defer-6-r5.apk
7.1 KiB
perl-constant-defer-doc-6-r5.apk
6.7 KiB
perl-constant-generate-0.17-r5.apk
8.5 KiB
perl-constant-generate-doc-0.17-r5.apk
6.8 KiB
perl-context-preserve-0.03-r4.apk
3.6 KiB
perl-context-preserve-doc-0.03-r4.apk
4.0 KiB
perl-cpan-audit-20250829.001-r0.apk
13 KiB
perl-cpan-audit-doc-20250829.001-r0.apk
12 KiB
perl-cpan-changes-0.500005-r0.apk
13 KiB
perl-cpan-changes-doc-0.500005-r0.apk
18 KiB
perl-cpansa-db-20250807.001-r0.apk
1.3 MiB
perl-cpansa-db-doc-20250807.001-r0.apk
5.3 KiB
perl-crypt-blowfish-2.14-r1.apk
13 KiB
perl-crypt-blowfish-doc-2.14-r1.apk
3.8 KiB
perl-crypt-random-seed-0.03-r0.apk
11 KiB
perl-crypt-random-seed-doc-0.03-r0.apk
8.5 KiB
perl-crypt-saltedhash-0.09-r5.apk
6.7 KiB
perl-crypt-saltedhash-doc-0.09-r5.apk
6.1 KiB
perl-css-inliner-4027-r0.apk
16 KiB
perl-css-inliner-doc-4027-r0.apk
9.2 KiB
perl-css-object-0.2.0-r0.apk
22 KiB
perl-css-object-doc-0.2.0-r0.apk
32 KiB
perl-daemon-control-0.001010-r2.apk
12 KiB
perl-daemon-control-doc-0.001010-r2.apk
8.0 KiB
perl-dancer-plugin-auth-extensible-1.00-r5.apk
15 KiB
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
15 KiB
perl-dancer-plugin-dbic-0.2104-r5.apk
4.7 KiB
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
5.1 KiB
perl-dancer-plugin-passphrase-2.0.1-r4.apk
9.4 KiB
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
8.2 KiB
perl-dancer-session-cookie-0.30-r2.apk
5.2 KiB
perl-dancer-session-cookie-doc-0.30-r2.apk
3.9 KiB
perl-dancer2-1.1.2-r0.apk
163 KiB
perl-dancer2-doc-1.1.2-r0.apk
300 KiB
perl-data-binary-0.01-r0.apk
2.5 KiB
perl-data-binary-doc-0.01-r0.apk
2.8 KiB
perl-data-checks-0.11-r0.apk
25 KiB
perl-data-checks-doc-0.11-r0.apk
8.2 KiB
perl-data-clone-0.006-r1.apk
10 KiB
perl-data-clone-doc-0.006-r1.apk
4.3 KiB
perl-data-dump-streamer-2.42-r1.apk
50 KiB
perl-data-dump-streamer-doc-2.42-r1.apk
17 KiB
perl-data-section-0.200008-r0.apk
6.2 KiB
perl-data-section-doc-0.200008-r0.apk
5.4 KiB
perl-data-validate-domain-0.15-r0.apk
5.6 KiB
perl-data-validate-domain-doc-0.15-r0.apk
5.2 KiB
perl-data-validate-ip-0.31-r1.apk
8.6 KiB
perl-data-validate-ip-doc-0.31-r1.apk
5.6 KiB
perl-data-visitor-0.32-r0.apk
9.5 KiB
perl-data-visitor-doc-0.32-r0.apk
8.0 KiB
perl-database-async-0.019-r0.apk
23 KiB
perl-database-async-doc-0.019-r0.apk
29 KiB
perl-database-async-engine-postgresql-1.005-r0.apk
14 KiB
perl-database-async-engine-postgresql-doc-1.005-r0.apk
9.1 KiB
perl-date-range-1.41-r0.apk
3.5 KiB
perl-date-range-doc-1.41-r0.apk
3.8 KiB
perl-datetime-astro-1.04-r0.apk
36 KiB
perl-datetime-astro-doc-1.04-r0.apk
5.8 KiB
perl-datetime-format-atom-1.8.0-r0.apk
2.9 KiB
perl-datetime-format-atom-doc-1.8.0-r0.apk
3.5 KiB
perl-datetime-format-flexible-0.37-r0.apk
18 KiB
perl-datetime-format-flexible-doc-0.37-r0.apk
12 KiB
perl-datetime-format-rfc3339-1.10.0-r0.apk
4.1 KiB
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
3.9 KiB
perl-datetime-set-0.3900-r0.apk
20 KiB
perl-datetime-set-doc-0.3900-r0.apk
18 KiB
perl-datetime-timezone-alias-0.06-r0.apk
2.3 KiB
perl-datetime-timezone-alias-doc-0.06-r0.apk
7.4 KiB
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
12 KiB
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
15 KiB
perl-dbicx-sugar-0.0200-r5.apk
5.6 KiB
perl-dbicx-sugar-doc-0.0200-r5.apk
5.1 KiB
perl-dbix-class-0.082844-r0.apk
355 KiB
perl-dbix-class-candy-0.005004-r0.apk
7.6 KiB
perl-dbix-class-candy-doc-0.005004-r0.apk
9.2 KiB
perl-dbix-class-cursor-cached-1.001004-r0.apk
3.0 KiB
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2.8 KiB
perl-dbix-class-doc-0.082844-r0.apk
420 KiB
perl-dbix-class-helpers-2.037000-r0.apk
48 KiB
perl-dbix-class-helpers-doc-2.037000-r0.apk
121 KiB
perl-dbix-class-schema-loader-0.07053-r0.apk
97 KiB
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
77 KiB
perl-dbix-connector-0.60-r0.apk
14 KiB
perl-dbix-connector-doc-0.60-r0.apk
22 KiB
perl-dbix-datasource-0.02-r5.apk
4.0 KiB
perl-dbix-datasource-doc-0.02-r5.apk
7.3 KiB
perl-dbix-introspector-0.001005-r4.apk
7.8 KiB
perl-dbix-introspector-doc-0.001005-r4.apk
8.2 KiB
perl-dbix-lite-0.36-r0.apk
18 KiB
perl-dbix-lite-doc-0.36-r0.apk
17 KiB
perl-devel-confess-0.009004-r0.apk
11 KiB
perl-devel-confess-doc-0.009004-r0.apk
6.4 KiB
perl-devel-leak-0.03-r14.apk
7.2 KiB
perl-devel-leak-doc-0.03-r14.apk
3.1 KiB
perl-devel-nytprof-6.14-r1.apk
400 KiB
perl-devel-nytprof-doc-6.14-r1.apk
50 KiB
perl-devel-refcount-0.10-r2.apk
6.2 KiB
perl-devel-refcount-doc-0.10-r2.apk
4.0 KiB
perl-devel-repl-1.003029-r0.apk
28 KiB
perl-devel-repl-doc-1.003029-r0.apk
60 KiB
perl-devel-stacktrace-withlexicals-2.01-r0.apk
3.4 KiB
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
3.2 KiB
perl-devel-trace-0.12-r0.apk
3.1 KiB
perl-devel-trace-doc-0.12-r0.apk
3.2 KiB
perl-digest-bcrypt-1.212-r1.apk
5.3 KiB
perl-digest-bcrypt-doc-1.212-r1.apk
4.9 KiB
perl-digest-crc-0.24-r2.apk
9.6 KiB
perl-digest-crc-doc-0.24-r2.apk
3.0 KiB
perl-dns-unbound-0.29-r2.apk
23 KiB
perl-dns-unbound-anyevent-0.29-r2.apk
2.1 KiB
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk
1.7 KiB
perl-dns-unbound-doc-0.29-r2.apk
15 KiB
perl-dns-unbound-ioasync-0.29-r2.apk
2.2 KiB
perl-dns-unbound-mojo-0.29-r2.apk
2.4 KiB
perl-email-abstract-3.010-r0.apk
7.4 KiB
perl-email-abstract-doc-3.010-r0.apk
13 KiB
perl-email-mime-attachment-stripper-1.317-r5.apk
3.7 KiB
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
3.6 KiB
perl-email-reply-1.204-r5.apk
5.9 KiB
perl-email-reply-doc-1.204-r5.apk
4.5 KiB
perl-email-sender-2.601-r0.apk
24 KiB
perl-email-sender-doc-2.601-r0.apk
42 KiB
perl-encode-detect-1.01-r1.apk
75 KiB
perl-encode-detect-doc-1.01-r1.apk
4.6 KiB
perl-ev-hiredis-0.07-r3.apk
13 KiB
perl-ev-hiredis-doc-0.07-r3.apk
4.0 KiB
perl-expect-1.38-r0.apk
32 KiB
perl-expect-doc-1.38-r0.apk
20 KiB
perl-expect-simple-0.04-r0.apk
5.2 KiB
perl-expect-simple-doc-0.04-r0.apk
4.6 KiB
perl-extutils-makemaker-7.76-r0.apk
174 KiB
perl-extutils-xsbuilder-0.28-r5.apk
43 KiB
perl-extutils-xsbuilder-doc-0.28-r5.apk
21 KiB
perl-feed-find-0.13-r0.apk
3.6 KiB
perl-feed-find-doc-0.13-r0.apk
3.5 KiB
perl-ffi-c-0.15-r0.apk
20 KiB
perl-ffi-c-doc-0.15-r0.apk
28 KiB
perl-ffi-platypus-2.10-r1.apk
179 KiB
perl-ffi-platypus-doc-2.10-r1.apk
148 KiB
perl-ffi-platypus-type-enum-0.06-r0.apk
4.9 KiB
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
4.9 KiB
perl-file-changenotify-0.31-r0.apk
12 KiB
perl-file-changenotify-doc-0.31-r0.apk
14 KiB
perl-file-find-object-0.3.9-r0.apk
8.9 KiB
perl-file-find-object-doc-0.3.9-r0.apk
13 KiB
perl-file-mmagic-xs-0.09008-r5.apk
32 KiB
perl-file-mmagic-xs-doc-0.09008-r5.apk
4.0 KiB
perl-file-rename-2.02-r0.apk
7.3 KiB
perl-file-rename-doc-2.02-r0.apk
12 KiB
perl-file-treecreate-0.0.1-r0.apk
3.8 KiB
perl-file-treecreate-doc-0.0.1-r0.apk
4.1 KiB
perl-finance-quote-1.68-r0.apk
114 KiB
perl-finance-quote-doc-1.68-r0.apk
90 KiB
perl-flowd-0.9.1-r11.apk
20 KiB
perl-flowd-doc-0.9.1-r11.apk
3.0 KiB
perl-freezethaw-0.5001-r3.apk
9.6 KiB
perl-freezethaw-doc-0.5001-r3.apk
5.4 KiB
perl-full-1.004-r0.apk
6.9 KiB
perl-full-doc-1.004-r0.apk
10 KiB
perl-future-asyncawait-hooks-0.02-r1.apk
8.6 KiB
perl-future-asyncawait-hooks-doc-0.02-r1.apk
3.1 KiB
perl-future-http-0.17-r0.apk
9.0 KiB
perl-future-http-doc-0.17-r0.apk
15 KiB
perl-future-q-0.120-r0.apk
9.3 KiB
perl-future-q-doc-0.120-r0.apk
8.9 KiB
perl-future-queue-0.52-r0.apk
3.8 KiB
perl-future-queue-doc-0.52-r0.apk
4.0 KiB
perl-gearman-2.004.015-r3.apk
27 KiB
perl-gearman-doc-2.004.015-r3.apk
20 KiB
perl-getopt-long-descriptive-0.116-r0.apk
14 KiB
perl-getopt-long-descriptive-doc-0.116-r0.apk
11 KiB
perl-getopt-tabular-0.3-r4.apk
23 KiB
perl-getopt-tabular-doc-0.3-r4.apk
16 KiB
perl-git-raw-0.90-r4.apk
176 KiB
perl-git-raw-doc-0.90-r4.apk
119 KiB
perl-git-repository-1.325-r0.apk
16 KiB
perl-git-repository-doc-1.325-r0.apk
31 KiB
perl-git-version-compare-1.005-r0.apk
5.1 KiB
perl-git-version-compare-doc-1.005-r0.apk
4.6 KiB
perl-glib-ex-objectbits-17-r0.apk
15 KiB
perl-glib-ex-objectbits-doc-17-r0.apk
22 KiB
perl-graphql-client-0.605-r0.apk
6.9 KiB
perl-graphql-client-cli-0.605-r0.apk
7.6 KiB
perl-graphql-client-doc-0.605-r0.apk
14 KiB
perl-gtk2-1.24993-r7.apk
884 KiB
perl-gtk2-doc-1.24993-r7.apk
678 KiB
perl-gtk2-ex-listmodelconcat-11-r4.apk
12 KiB
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
7.0 KiB
perl-gtk2-ex-widgetbits-48-r3.apk
66 KiB
perl-gtk2-ex-widgetbits-doc-48-r3.apk
81 KiB
perl-guard-1.023-r10.apk
8.7 KiB
perl-guard-doc-1.023-r10.apk
5.1 KiB
perl-hash-merge-extra-0.06-r0.apk
2.9 KiB
perl-hash-merge-extra-doc-0.06-r0.apk
3.1 KiB
perl-hash-ordered-0.014-r0.apk
9.5 KiB
perl-hash-ordered-doc-0.014-r0.apk
19 KiB
perl-html-formatexternal-26-r0.apk
17 KiB
perl-html-formatexternal-doc-26-r0.apk
23 KiB
perl-html-formhandler-0.40068-r0.apk
135 KiB
perl-html-formhandler-doc-0.40068-r0.apk
323 KiB
perl-html-gumbo-0.18-r2.apk
16 KiB
perl-html-gumbo-doc-0.18-r2.apk
5.2 KiB
perl-html-object-0.6.0-r0.apk
343 KiB
perl-html-object-doc-0.6.0-r0.apk
473 KiB
perl-html-query-0.09-r0.apk
14 KiB
perl-html-query-doc-0.09-r0.apk
9.8 KiB
perl-html-selector-xpath-0.28-r0.apk
6.0 KiB
perl-html-selector-xpath-doc-0.28-r0.apk
3.8 KiB
perl-html-tableextract-2.15-r4.apk
17 KiB
perl-html-tableextract-doc-2.15-r4.apk
9.7 KiB
perl-html-treebuilder-xpath-0.14-r0.apk
7.5 KiB
perl-html-treebuilder-xpath-doc-0.14-r0.apk
4.0 KiB
perl-http-headers-actionpack-0.09-r0.apk
18 KiB
perl-http-headers-actionpack-doc-0.09-r0.apk
40 KiB
perl-http-thin-0.006-r0.apk
2.8 KiB
perl-http-thin-doc-0.006-r0.apk
3.2 KiB
perl-http-xsheaders-0.500000-r0.apk
24 KiB
perl-http-xsheaders-doc-0.500000-r0.apk
6.1 KiB
perl-i18n-langinfo-wide-9-r4.apk
4.0 KiB
perl-i18n-langinfo-wide-doc-9-r4.apk
3.8 KiB
perl-imager-1.028-r1.apk
566 KiB
perl-imager-doc-1.028-r1.apk
286 KiB
perl-indirect-0.39-r2.apk
16 KiB
perl-indirect-doc-0.39-r2.apk
6.1 KiB
perl-io-handle-util-0.02-r0.apk
10 KiB
perl-io-handle-util-doc-0.02-r0.apk
9.6 KiB
perl-io-interactive-1.027-r0.apk
5.1 KiB
perl-io-interactive-doc-1.027-r0.apk
4.9 KiB
perl-io-lambda-1.34-r0.apk
75 KiB
perl-io-lambda-doc-1.34-r0.apk
68 KiB
perl-io-sessiondata-1.03-r3.apk
5.5 KiB
perl-json-maybeutf8-2.000-r0.apk
2.9 KiB
perl-json-maybeutf8-doc-2.000-r0.apk
3.3 KiB
perl-json-validator-5.15-r0.apk
58 KiB
perl-json-validator-doc-5.15-r0.apk
34 KiB
perl-lexical-persistence-1.023-r0.apk
7.3 KiB
perl-lexical-persistence-doc-1.023-r0.apk
7.3 KiB
perl-lib-abs-0.95-r0.apk
3.6 KiB
perl-lib-abs-doc-0.95-r0.apk
3.7 KiB
perl-libapreq2-2.17-r3.apk
113 KiB
perl-libapreq2-dev-2.17-r3.apk
59 KiB
perl-libapreq2-doc-2.17-r3.apk
37 KiB
perl-libintl-perl-1.35-r0.apk
305 KiB
perl-libintl-perl-doc-1.35-r0.apk
571 KiB
perl-lingua-en-findnumber-1.32-r0.apk
3.0 KiB
perl-lingua-en-findnumber-doc-1.32-r0.apk
3.2 KiB
perl-lingua-en-inflect-number-1.12-r0.apk
2.9 KiB
perl-lingua-en-inflect-number-doc-1.12-r0.apk
3.3 KiB
perl-lingua-en-inflect-phrase-0.20-r0.apk
5.1 KiB
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
3.6 KiB
perl-lingua-en-number-isordinal-0.05-r0.apk
2.8 KiB
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
3.2 KiB
perl-lingua-en-tagger-0.31-r0.apk
546 KiB
perl-lingua-en-tagger-doc-0.31-r0.apk
4.2 KiB
perl-lingua-en-words2nums-0.18-r0.apk
4.3 KiB
perl-lingua-en-words2nums-doc-0.18-r0.apk
3.3 KiB
perl-lingua-pt-stemmer-0.02-r0.apk
5.2 KiB
perl-lingua-pt-stemmer-doc-0.02-r0.apk
4.0 KiB
perl-lingua-stem-2.31-r0.apk
12 KiB
perl-lingua-stem-doc-2.31-r0.apk
33 KiB
perl-lingua-stem-fr-0.02-r0.apk
5.9 KiB
perl-lingua-stem-fr-doc-0.02-r0.apk
3.7 KiB
perl-lingua-stem-it-0.02-r0.apk
5.0 KiB
perl-lingua-stem-it-doc-0.02-r0.apk
3.3 KiB
perl-lingua-stem-ru-0.04-r0.apk
3.9 KiB
perl-lingua-stem-ru-doc-0.04-r0.apk
3.5 KiB
perl-lingua-stem-snowball-da-1.01-r0.apk
4.1 KiB
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2.8 KiB
perl-linux-pid-0.04-r15.apk
4.8 KiB
perl-linux-pid-doc-0.04-r15.apk
2.8 KiB
perl-list-binarysearch-0.25-r0.apk
9.7 KiB
perl-list-binarysearch-doc-0.25-r0.apk
11 KiB
perl-list-binarysearch-xs-0.09-r2.apk
12 KiB
perl-list-binarysearch-xs-doc-0.09-r2.apk
7.9 KiB
perl-list-keywords-0.11-r1.apk
16 KiB
perl-list-keywords-doc-0.11-r1.apk
5.3 KiB
perl-log-fu-0.31-r4.apk
10 KiB
perl-log-fu-doc-0.31-r4.apk
7.0 KiB
perl-log-message-0.08-r3.apk
10 KiB
perl-log-message-doc-0.08-r3.apk
12 KiB
perl-log-message-simple-0.10-r3.apk
4.0 KiB
perl-log-message-simple-doc-0.10-r3.apk
3.7 KiB
perl-lwp-useragent-cached-0.08-r1.apk
6.1 KiB
perl-lwp-useragent-cached-doc-0.08-r1.apk
5.4 KiB
perl-mastodon-client-0.017-r0.apk
22 KiB
perl-mastodon-client-doc-0.017-r0.apk
33 KiB
perl-math-int64-0.57-r2.apk
29 KiB
perl-math-int64-doc-0.57-r2.apk
10 KiB
perl-math-libm-1.00-r15.apk
11 KiB
perl-math-libm-doc-1.00-r15.apk
3.0 KiB
perl-math-random-0.75-r0.apk
39 KiB
perl-math-random-doc-0.75-r0.apk
11 KiB
perl-math-random-isaac-xs-1.004-r9.apk
8.1 KiB
perl-math-random-isaac-xs-doc-1.004-r9.apk
3.6 KiB
perl-mce-1.902-r0.apk
134 KiB
perl-mce-doc-1.902-r0.apk
171 KiB
perl-memoize-expirelru-0.56-r0.apk
6.0 KiB
perl-memoize-expirelru-doc-0.56-r0.apk
3.6 KiB
perl-minion-11.0-r0.apk
1.5 MiB
perl-minion-backend-pg-11.0-r0.apk
9.3 KiB
perl-minion-backend-redis-0.003-r0.apk
10 KiB
perl-minion-backend-redis-doc-0.003-r0.apk
6.3 KiB
perl-minion-backend-sqlite-5.0.7-r0.apk
9.9 KiB
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
6.5 KiB
perl-minion-doc-11.0-r0.apk
50 KiB
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
3.2 KiB
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
3.5 KiB
perl-module-cpants-analyse-1.02-r0.apk
26 KiB
perl-module-cpants-analyse-doc-1.02-r0.apk
28 KiB
perl-module-extract-version-1.119-r0.apk
3.0 KiB
perl-module-extract-version-doc-1.119-r0.apk
3.2 KiB
perl-module-generic-1.1.3-r0.apk
324 KiB
perl-module-generic-doc-1.1.3-r0.apk
262 KiB
perl-module-path-0.19-r0.apk
4.5 KiB
perl-module-path-doc-0.19-r0.apk
5.5 KiB
perl-mojo-reactor-ioasync-1.002-r0.apk
4.5 KiB
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
4.1 KiB
perl-mojo-redis-3.29-r0.apk
25 KiB
perl-mojo-redis-doc-3.29-r0.apk
24 KiB
perl-mojo-sqlite-3.009-r0.apk
16 KiB
perl-mojo-sqlite-doc-3.009-r0.apk
19 KiB
perl-mojolicious-plugin-openapi-5.11-r0.apk
28 KiB
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
33 KiB
perl-moosex-configfromfile-0.14-r0.apk
3.8 KiB
perl-moosex-configfromfile-doc-0.14-r0.apk
3.9 KiB
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
5.2 KiB
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
5.1 KiB
perl-moosex-getopt-0.78-r0.apk
13 KiB
perl-moosex-getopt-doc-0.78-r0.apk
24 KiB
perl-moosex-markasmethods-0.15-r0.apk
4.8 KiB
perl-moosex-markasmethods-doc-0.15-r0.apk
4.9 KiB
perl-moosex-methodattributes-0.32-r0.apk
8.3 KiB
perl-moosex-methodattributes-doc-0.32-r0.apk
22 KiB
perl-moosex-nonmoose-0.27-r1.apk
7.9 KiB
perl-moosex-nonmoose-doc-0.27-r1.apk
9.2 KiB
perl-moosex-object-pluggable-0.0014-r0.apk
5.7 KiB
perl-moosex-object-pluggable-doc-0.0014-r0.apk
5.2 KiB
perl-moosex-relatedclassroles-0.004-r0.apk
2.5 KiB
perl-moosex-relatedclassroles-doc-0.004-r0.apk
3.1 KiB
perl-moosex-role-parameterized-1.11-r0.apk
7.5 KiB
perl-moosex-role-parameterized-doc-1.11-r0.apk
23 KiB
perl-moosex-simpleconfig-0.11-r0.apk
3.5 KiB
perl-moosex-simpleconfig-doc-0.11-r0.apk
3.9 KiB
perl-moosex-traits-pluggable-0.12-r0.apk
4.7 KiB
perl-moosex-traits-pluggable-doc-0.12-r0.apk
3.9 KiB
perl-moosex-types-loadableclass-0.016-r0.apk
3.0 KiB
perl-moosex-types-loadableclass-doc-0.016-r0.apk
3.5 KiB
perl-moosex-types-path-tiny-0.012-r0.apk
3.7 KiB
perl-moosex-types-path-tiny-doc-0.012-r0.apk
3.9 KiB
perl-moosex-types-stringlike-0.003-r0.apk
2.8 KiB
perl-moosex-types-stringlike-doc-0.003-r0.apk
3.3 KiB
perl-moox-typetiny-0.002003-r0.apk
3.3 KiB
perl-moox-typetiny-doc-0.002003-r0.apk
2.9 KiB
perl-multidimensional-0.014-r1.apk
5.0 KiB
perl-multidimensional-doc-0.014-r1.apk
2.9 KiB
perl-musicbrainz-discid-0.06-r2.apk
9.1 KiB
perl-musicbrainz-discid-doc-0.06-r2.apk
4.1 KiB
perl-net-address-ip-local-0.1.2-r0.apk
3.2 KiB
perl-net-address-ip-local-doc-0.1.2-r0.apk
3.2 KiB
perl-net-amqp-rabbitmq-2.40014-r1.apk
80 KiB
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
11 KiB
perl-net-async-redis-6.006-r0.apk
58 KiB
perl-net-async-redis-doc-6.006-r0.apk
66 KiB
perl-net-async-redis-xs-1.001-r2.apk
9.7 KiB
perl-net-async-redis-xs-doc-1.001-r2.apk
5.1 KiB
perl-net-curl-0.57-r1.apk
64 KiB
perl-net-curl-doc-0.57-r1.apk
39 KiB
perl-net-curl-promiser-0.20-r0.apk
8.6 KiB
perl-net-curl-promiser-anyevent-0.20-r0.apk
2.5 KiB
perl-net-curl-promiser-doc-0.20-r0.apk
11 KiB
perl-net-curl-promiser-ioasync-0.20-r0.apk
2.7 KiB
perl-net-curl-promiser-mojo-0.20-r0.apk
2.9 KiB
perl-net-dbus-1.2.0-r0.apk
92 KiB
perl-net-dbus-doc-1.2.0-r0.apk
94 KiB
perl-net-idn-encode-2.500-r2.apk
84 KiB
perl-net-idn-encode-doc-2.500-r2.apk
22 KiB
perl-net-irr-0.10-r0.apk
5.2 KiB
perl-net-irr-doc-0.10-r0.apk
4.9 KiB
perl-net-netmask-2.0003-r0.apk
13 KiB
perl-net-netmask-doc-2.0003-r0.apk
8.3 KiB
perl-net-patricia-1.24-r0.apk
22 KiB
perl-net-patricia-doc-1.24-r0.apk
6.0 KiB
perl-netaddr-mac-0.99-r0.apk
9.7 KiB
perl-netaddr-mac-doc-0.99-r0.apk
7.8 KiB
perl-nice-try-1.3.17-r0.apk
28 KiB
perl-nice-try-doc-1.3.17-r0.apk
12 KiB
perl-number-format-1.76-r1.apk
15 KiB
perl-number-format-doc-1.76-r1.apk
8.7 KiB
perl-number-tolerant-1.710-r0.apk
15 KiB
perl-number-tolerant-doc-1.710-r0.apk
25 KiB
perl-object-array-0.060-r0.apk
5.4 KiB
perl-object-array-doc-0.060-r0.apk
6.7 KiB
perl-object-pad-fieldattr-checked-0.12-r1.apk
8.7 KiB
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk
4.3 KiB
perl-object-signature-1.08-r0.apk
3.4 KiB
perl-object-signature-doc-1.08-r0.apk
5.1 KiB
perl-openapi-client-1.07-r0.apk
8.4 KiB
perl-openapi-client-doc-1.07-r0.apk
7.1 KiB
perl-opentracing-1.006-r0.apk
18 KiB
perl-opentracing-doc-1.006-r0.apk
32 KiB
perl-pango-1.227-r12.apk
80 KiB
perl-pango-doc-1.227-r12.apk
82 KiB
perl-parse-distname-0.05-r0.apk
5.2 KiB
perl-parse-distname-doc-0.05-r0.apk
4.1 KiB
perl-path-dispatcher-1.08-r0.apk
14 KiB
perl-path-dispatcher-doc-1.08-r0.apk
38 KiB
perl-path-iter-0.2-r3.apk
5.0 KiB
perl-path-iter-doc-0.2-r3.apk
4.9 KiB
perl-perlio-locale-0.10-r13.apk
4.6 KiB
perl-perlio-locale-doc-0.10-r13.apk
2.9 KiB
perl-plack-middleware-expires-0.06-r3.apk
3.6 KiB
perl-plack-middleware-expires-doc-0.06-r3.apk
3.1 KiB
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2.8 KiB
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2.8 KiB
perl-plack-middleware-methodoverride-0.20-r0.apk
3.4 KiB
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
3.8 KiB
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2.2 KiB
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2.8 KiB
perl-plack-middleware-reverseproxy-0.16-r2.apk
2.9 KiB
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2.8 KiB
perl-plack-test-externalserver-0.02-r0.apk
2.6 KiB
perl-plack-test-externalserver-doc-0.02-r0.apk
2.9 KiB
perl-pod-cpandoc-0.16-r6.apk
4.3 KiB
perl-pod-cpandoc-doc-0.16-r6.apk
4.6 KiB
perl-pod-tidy-0.10-r1.apk
10 KiB
perl-pod-tidy-doc-0.10-r1.apk
10 KiB
perl-ppi-xs-0.910-r2.apk
5.9 KiB
perl-ppi-xs-doc-0.910-r2.apk
3.2 KiB
perl-prereqscanner-notquitelite-0.9917-r0.apk
41 KiB
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
49 KiB
perl-proc-guard-0.07-r4.apk
3.4 KiB
perl-proc-guard-doc-0.07-r4.apk
3.3 KiB
perl-promise-es6-0.28-r0.apk
10 KiB
perl-promise-es6-anyevent-0.28-r0.apk
2.3 KiB
perl-promise-es6-doc-0.28-r0.apk
12 KiB
perl-promise-es6-future-0.28-r0.apk
2.0 KiB
perl-promise-es6-io-async-0.28-r0.apk
2.7 KiB
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2.3 KiB
perl-promise-me-0.6.0-r0.apk
27 KiB
perl-promise-me-doc-0.6.0-r0.apk
13 KiB
perl-promise-xs-0.20-r2.apk
26 KiB
perl-promise-xs-doc-0.20-r2.apk
8.5 KiB
perl-protocol-database-postgresql-2.001-r0.apk
19 KiB
perl-protocol-database-postgresql-doc-2.001-r0.apk
37 KiB
perl-protocol-redis-1.0021-r0.apk
5.4 KiB
perl-protocol-redis-doc-1.0021-r0.apk
4.8 KiB
perl-protocol-redis-faster-0.004-r0.apk
2.0 KiB
perl-protocol-redis-faster-doc-0.004-r0.apk
2.8 KiB
perl-ref-util-xs-0.117-r9.apk
11 KiB
perl-ref-util-xs-doc-0.117-r9.apk
3.2 KiB
perl-regexp-trie-0.02-r0.apk
2.7 KiB
perl-regexp-trie-doc-0.02-r0.apk
3.0 KiB
perl-role-eventemitter-0.003-r0.apk
3.4 KiB
perl-role-eventemitter-doc-0.003-r0.apk
3.7 KiB
perl-rxperl-6.29.8-r0.apk
26 KiB
perl-rxperl-anyevent-6.8.1-r0.apk
2.5 KiB
perl-rxperl-anyevent-doc-6.8.1-r0.apk
8.7 KiB
perl-rxperl-doc-6.29.8-r0.apk
22 KiB
perl-rxperl-ioasync-6.9.1-r0.apk
2.6 KiB
perl-rxperl-ioasync-doc-6.9.1-r0.apk
8.7 KiB
perl-rxperl-mojo-6.8.2-r0.apk
2.6 KiB
perl-rxperl-mojo-doc-6.8.2-r0.apk
8.8 KiB
perl-ryu-4.001-r0.apk
26 KiB
perl-ryu-async-0.020-r0.apk
7.2 KiB
perl-ryu-async-doc-0.020-r0.apk
12 KiB
perl-ryu-doc-4.001-r0.apk
35 KiB
perl-scalar-readonly-0.03-r2.apk
5.4 KiB
perl-scalar-readonly-doc-0.03-r2.apk
3.2 KiB
perl-session-storage-secure-1.000-r2.apk
8.6 KiB
perl-session-storage-secure-doc-1.000-r2.apk
7.1 KiB
perl-set-infinite-0.65-r0.apk
23 KiB
perl-set-infinite-doc-0.65-r0.apk
11 KiB
perl-shell-config-generate-0.34-r0.apk
7.6 KiB
perl-shell-config-generate-doc-0.34-r0.apk
6.6 KiB
perl-shell-guess-0.10-r0.apk
5.7 KiB
perl-shell-guess-doc-0.10-r0.apk
5.5 KiB
perl-signature-attribute-checked-0.06-r1.apk
8.0 KiB
perl-signature-attribute-checked-doc-0.06-r1.apk
4.4 KiB
perl-smart-comments-1.06-r0.apk
12 KiB
perl-smart-comments-doc-1.06-r0.apk
8.3 KiB
perl-snmp-5.0404-r14.apk
75 KiB
perl-snmp-doc-5.0404-r14.apk
14 KiB
perl-snmp-info-3.974000-r0.apk
337 KiB
perl-snmp-info-doc-3.974000-r0.apk
389 KiB
perl-snowball-norwegian-1.2-r0.apk
5.0 KiB
perl-snowball-norwegian-doc-1.2-r0.apk
3.6 KiB
perl-snowball-swedish-1.2-r0.apk
5.0 KiB
perl-snowball-swedish-doc-1.2-r0.apk
3.6 KiB
perl-soap-lite-1.27-r5.apk
110 KiB
perl-soap-lite-doc-1.27-r5.apk
90 KiB
perl-software-license-0.104007-r0.apk
106 KiB
perl-software-license-doc-0.104007-r0.apk
50 KiB
perl-sort-naturally-1.03-r4.apk
8.4 KiB
perl-sort-naturally-doc-1.03-r4.apk
5.2 KiB
perl-sort-versions-1.62-r0.apk
3.5 KiB
perl-sort-versions-doc-1.62-r0.apk
3.9 KiB
perl-sql-abstract-classic-1.91-r1.apk
29 KiB
perl-sql-abstract-classic-doc-1.91-r1.apk
20 KiB
perl-sql-abstract-more-1.44-r0.apk
27 KiB
perl-sql-abstract-more-doc-1.44-r0.apk
16 KiB
perl-starman-0.4017-r0.apk
13 KiB
perl-starman-doc-0.4017-r0.apk
9.7 KiB
perl-statistics-basic-1.6611-r0.apk
9.0 KiB
perl-statistics-basic-doc-1.6611-r0.apk
50 KiB
perl-statistics-descriptive-3.0801-r0.apk
30 KiB
perl-statistics-descriptive-doc-3.0801-r0.apk
37 KiB
perl-storable-improved-0.1.3-r0.apk
6.4 KiB
perl-storable-improved-doc-0.1.3-r0.apk
6.7 KiB
perl-string-camelcase-0.04-r2.apk
2.9 KiB
perl-string-camelcase-doc-0.04-r2.apk
3.2 KiB
perl-string-compare-constanttime-0.321-r7.apk
7.5 KiB
perl-string-compare-constanttime-doc-0.321-r7.apk
5.0 KiB
perl-string-crc32-2.100-r5.apk
7.2 KiB
perl-string-crc32-doc-2.100-r5.apk
3.2 KiB
perl-string-escape-2010.002-r0.apk
8.6 KiB
perl-string-escape-doc-2010.002-r0.apk
7.6 KiB
perl-string-toidentifier-en-0.12-r0.apk
5.2 KiB
perl-string-toidentifier-en-doc-0.12-r0.apk
5.3 KiB
perl-syntax-keyword-match-0.15-r1.apk
14 KiB
perl-syntax-keyword-match-doc-0.15-r1.apk
7.6 KiB
perl-syntax-operator-equ-0.10-r1.apk
8.2 KiB
perl-syntax-operator-equ-doc-0.10-r1.apk
6.3 KiB
perl-syntax-operator-in-0.10-r1.apk
9.8 KiB
perl-syntax-operator-in-doc-0.10-r1.apk
5.6 KiB
perl-sys-virt-11.10.0-r0.apk
215 KiB
perl-sys-virt-doc-11.10.0-r0.apk
106 KiB
perl-system-command-1.122-r0.apk
12 KiB
perl-system-command-doc-1.122-r0.apk
9.9 KiB
perl-task-catalyst-4.02-r0.apk
2.7 KiB
perl-task-catalyst-doc-4.02-r0.apk
3.4 KiB
perl-template-plugin-csv-0.04-r3.apk
2.5 KiB
perl-template-plugin-csv-doc-0.04-r3.apk
2.8 KiB
perl-template-plugin-number-format-1.06-r4.apk
4.6 KiB
perl-template-plugin-number-format-doc-1.06-r4.apk
4.1 KiB
perl-template-timer-1.00-r0.apk
3.2 KiB
perl-template-timer-doc-1.00-r0.apk
3.4 KiB
perl-template-tiny-1.16-r0.apk
5.3 KiB
perl-template-tiny-doc-1.16-r0.apk
4.8 KiB
perl-term-size-0.211-r5.apk
5.8 KiB
perl-term-size-doc-0.211-r5.apk
3.5 KiB
perl-term-ui-0.50-r1.apk
9.7 KiB
perl-term-ui-doc-0.50-r1.apk
8.2 KiB
perl-test-api-0.010-r2.apk
4.8 KiB
perl-test-api-doc-0.010-r2.apk
4.0 KiB
perl-test-class-tiny-0.03-r0.apk
5.6 KiB
perl-test-class-tiny-doc-0.03-r0.apk
5.2 KiB
perl-test-describeme-0.004-r0.apk
3.3 KiB
perl-test-describeme-doc-0.004-r0.apk
3.9 KiB
perl-test-distribution-2.00-r1.apk
7.5 KiB
perl-test-distribution-doc-2.00-r1.apk
5.8 KiB
perl-test-expander-2.5.1-r0.apk
6.9 KiB
perl-test-expander-doc-2.5.1-r0.apk
20 KiB
perl-test-expect-0.34-r0.apk
3.2 KiB
perl-test-expect-doc-0.34-r0.apk
3.3 KiB
perl-test-file-1.995-r0.apk
11 KiB
perl-test-file-doc-1.995-r0.apk
6.5 KiB
perl-test-files-0.26-r0.apk
6.5 KiB
perl-test-files-doc-0.26-r0.apk
14 KiB
perl-test-kwalitee-1.28-r0.apk
6.1 KiB
perl-test-kwalitee-doc-1.28-r0.apk
6.6 KiB
perl-test-lwp-useragent-0.036-r0.apk
9.6 KiB
perl-test-lwp-useragent-doc-0.036-r0.apk
8.1 KiB
perl-test-memorygrowth-0.05-r0.apk
6.2 KiB
perl-test-memorygrowth-doc-0.05-r0.apk
5.0 KiB
perl-test-modern-0.013-r3.apk
14 KiB
perl-test-modern-doc-0.013-r3.apk
9.6 KiB
perl-test-perl-critic-1.04-r0.apk
6.6 KiB
perl-test-perl-critic-doc-1.04-r0.apk
6.2 KiB
perl-test-randomresult-0.001-r0.apk
3.3 KiB
perl-test-randomresult-doc-0.001-r0.apk
3.4 KiB
perl-test-redisserver-0.23-r0.apk
4.7 KiB
perl-test-redisserver-doc-0.23-r0.apk
3.8 KiB
perl-test-requires-git-1.008-r0.apk
4.5 KiB
perl-test-requires-git-doc-1.008-r0.apk
4.1 KiB
perl-test-roo-1.004-r3.apk
12 KiB
perl-test-roo-doc-1.004-r3.apk
15 KiB
perl-test-settings-0.003-r0.apk
4.7 KiB
perl-test-settings-doc-0.003-r0.apk
5.8 KiB
perl-test-trap-0.3.5-r1.apk
20 KiB
perl-test-trap-doc-0.3.5-r1.apk
20 KiB
perl-test-unit-0.29-r0.apk
35 KiB
perl-test-unit-doc-0.29-r0.apk
48 KiB
perl-test-useallmodules-0.17-r1.apk
3.6 KiB
perl-test-useallmodules-doc-0.17-r1.apk
3.6 KiB
perl-test-utf8-1.03-r0.apk
5.3 KiB
perl-test-utf8-doc-1.03-r0.apk
4.6 KiB
perl-test-www-mechanize-1.60-r0.apk
15 KiB
perl-test-www-mechanize-catalyst-0.62-r0.apk
7.1 KiB
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
6.0 KiB
perl-test-www-mechanize-doc-1.60-r0.apk
9.8 KiB
perl-test2-tools-explain-0.02-r0.apk
3.6 KiB
perl-test2-tools-explain-doc-0.02-r0.apk
4.2 KiB
perl-text-brew-0.02-r5.apk
4.3 KiB
perl-text-brew-doc-0.02-r5.apk
3.9 KiB
perl-text-german-0.06-r0.apk
13 KiB
perl-text-german-doc-0.06-r0.apk
2.8 KiB
perl-text-simpletable-2.07-r0.apk
4.2 KiB
perl-text-simpletable-doc-2.07-r0.apk
3.2 KiB
perl-text-table-any-0.117-r0.apk
7.8 KiB
perl-text-table-any-doc-0.117-r0.apk
6.4 KiB
perl-text-table-sprintf-0.008-r0.apk
5.1 KiB
perl-text-table-sprintf-doc-0.008-r0.apk
5.0 KiB
perl-text-worddiff-0.09-r0.apk
10 KiB
perl-text-worddiff-doc-0.09-r0.apk
13 KiB
perl-throwable-1.001-r1.apk
6.0 KiB
perl-throwable-doc-1.001-r1.apk
7.7 KiB
perl-tickit-widget-choice-0.07-r0.apk
3.7 KiB
perl-tickit-widget-choice-doc-0.07-r0.apk
3.1 KiB
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
4.4 KiB
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
3.6 KiB
perl-tickit-widget-floatbox-0.11-r0.apk
4.4 KiB
perl-tickit-widget-floatbox-doc-0.11-r0.apk
3.9 KiB
perl-tickit-widget-menu-0.16-r0.apk
6.9 KiB
perl-tickit-widget-menu-doc-0.16-r0.apk
6.7 KiB
perl-tickit-widget-scrollbox-0.12-r0.apk
7.7 KiB
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
6.3 KiB
perl-tie-toobject-0.03-r0.apk
2.3 KiB
perl-tie-toobject-doc-0.03-r0.apk
2.9 KiB
perl-time-moment-0.46-r0.apk
44 KiB
perl-time-moment-doc-0.46-r0.apk
35 KiB
perl-time-moment-role-strptime-0.001-r0.apk
2.5 KiB
perl-time-moment-role-strptime-doc-0.001-r0.apk
3.1 KiB
perl-time-moment-role-timezone-1.000-r0.apk
3.3 KiB
perl-time-moment-role-timezone-doc-1.000-r0.apk
3.7 KiB
perl-time-timegm-0.01-r10.apk
6.7 KiB
perl-time-timegm-doc-0.01-r10.apk
3.6 KiB
perl-tree-simple-visitorfactory-0.16-r0.apk
20 KiB
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
46 KiB
perl-types-path-tiny-0.006-r0.apk
3.7 KiB
perl-types-path-tiny-doc-0.006-r0.apk
3.8 KiB
perl-uri-db-0.23-r0.apk
11 KiB
perl-uri-db-doc-0.23-r0.apk
8.1 KiB
perl-uri-fetch-0.15-r0.apk
6.8 KiB
perl-uri-fetch-doc-0.15-r0.apk
7.3 KiB
perl-uri-find-20160806-r0.apk
13 KiB
perl-uri-find-doc-20160806-r0.apk
8.9 KiB
perl-uri-nested-0.10-r0.apk
3.7 KiB
perl-uri-nested-doc-0.10-r0.apk
3.6 KiB
perl-uri-redis-0.02-r0.apk
2.9 KiB
perl-uri-redis-doc-0.02-r0.apk
4.3 KiB
perl-uri-tcp-2.0.0-r0.apk
2.5 KiB
perl-uri-tcp-doc-2.0.0-r0.apk
4.7 KiB
perl-uri-ws-0.03-r0.apk
2.1 KiB
perl-uri-ws-doc-0.03-r0.apk
4.1 KiB
perl-url-encode-0.03-r4.apk
4.9 KiB
perl-url-encode-doc-0.03-r4.apk
4.4 KiB
perl-variable-disposition-0.005-r0.apk
3.0 KiB
perl-variable-disposition-doc-0.005-r0.apk
5.4 KiB
perl-wanted-0.1.0-r0.apk
21 KiB
perl-wanted-doc-0.1.0-r0.apk
10 KiB
perl-web-machine-0.17-r0.apk
20 KiB
perl-web-machine-doc-0.17-r0.apk
28 KiB
perl-web-scraper-0.38-r0.apk
7.3 KiB
perl-web-scraper-doc-0.38-r0.apk
7.8 KiB
perl-x-tiny-0.22-r0.apk
6.6 KiB
perl-x-tiny-doc-0.22-r0.apk
7.3 KiB
perl-x11-korgwm-5.0-r0.apk
40 KiB
perl-x11-korgwm-doc-5.0-r0.apk
11 KiB
perl-x11-xcb-0.24-r0.apk
158 KiB
perl-x11-xcb-doc-0.24-r0.apk
13 KiB
perl-xml-atom-0.43-r0.apk
19 KiB
perl-xml-atom-doc-0.43-r0.apk
16 KiB
perl-xml-bare-0.53-r14.apk
29 KiB
perl-xml-bare-doc-0.53-r14.apk
11 KiB
perl-xml-descent-1.04-r0.apk
7.2 KiB
perl-xml-descent-doc-1.04-r0.apk
6.9 KiB
perl-xml-feed-1.0.0-r0.apk
14 KiB
perl-xml-feed-doc-1.0.0-r0.apk
13 KiB
perl-xml-parser-style-easytree-0.09-r0.apk
4.7 KiB
perl-xml-parser-style-easytree-doc-0.09-r0.apk
5.2 KiB
perl-xml-rpc-2.1-r0.apk
5.5 KiB
perl-xml-rpc-doc-2.1-r0.apk
4.6 KiB
perl-xml-tokeparser-0.05-r0.apk
7.6 KiB
perl-xml-tokeparser-doc-0.05-r0.apk
6.6 KiB
perl-xml-xpathengine-0.14-r0.apk
21 KiB
perl-xml-xpathengine-doc-0.14-r0.apk
11 KiB
perl-xs-object-magic-0.05-r0.apk
10 KiB
perl-xs-object-magic-doc-0.05-r0.apk
5.1 KiB
persistent-cache-cpp-1.0.9-r0.apk
46 KiB
persistent-cache-cpp-dev-1.0.9-r0.apk
18 KiB
persistent-cache-cpp-doc-1.0.9-r0.apk
2.9 KiB
pest-language-server-0.3.9-r0.apk
1.1 MiB
petitboot-1.15-r0.apk
213 KiB
petitboot-dbg-1.15-r0.apk
582 KiB
petitboot-doc-1.15-r0.apk
7.8 KiB
pfetch-1.9.4-r0.apk
23 KiB
pfetch-doc-1.9.4-r0.apk
5.5 KiB
phoronix-test-suite-10.8.4-r2.apk
3.9 MiB
phoronix-test-suite-bash-completion-10.8.4-r2.apk
1.5 KiB
phoronix-test-suite-doc-10.8.4-r2.apk
287 KiB
phosh-osk-data-0.42.0-r0.apk
1.0 KiB
phosh-osk-data-de-0.42.0-r0.apk
64 MiB
phosh-osk-data-es-0.42.0-r0.apk
52 MiB
phosh-osk-data-fi-0.42.0-r0.apk
64 MiB
phosh-osk-data-it-0.42.0-r0.apk
58 MiB
phosh-osk-data-nl-0.42.0-r0.apk
54 MiB
phosh-osk-data-pl-0.42.0-r0.apk
57 MiB
phosh-osk-data-pt-0.42.0-r0.apk
57 MiB
phosh-osk-data-ru-0.42.0-r0.apk
20 MiB
phosh-osk-data-se-0.42.0-r0.apk
15 MiB
phosh-osk-data-uk-0.42.0-r0.apk
16 MiB
phosh-tour-0.52.0-r0.apk
40 KiB
phosh-tour-lang-0.52.0-r0.apk
36 KiB
phosh-tour-systemd-0.52.0-r0.apk
1.5 KiB
php81-8.1.34-r0.apk
1.9 MiB
php81-apache2-8.1.34-r0.apk
1.9 MiB
php81-bcmath-8.1.34-r0.apk
20 KiB
php81-bz2-8.1.34-r0.apk
10 KiB
php81-calendar-8.1.34-r0.apk
13 KiB
php81-cgi-8.1.34-r0.apk
1.9 MiB
php81-common-8.1.34-r0.apk
25 KiB
php81-ctype-8.1.34-r0.apk
5.0 KiB
php81-curl-8.1.34-r0.apk
36 KiB
php81-dba-8.1.34-r0.apk
24 KiB
php81-dev-8.1.34-r0.apk
939 KiB
php81-doc-8.1.34-r0.apk
68 KiB
php81-dom-8.1.34-r0.apk
63 KiB
php81-embed-8.1.34-r0.apk
1.9 MiB
php81-enchant-8.1.34-r0.apk
8.7 KiB
php81-exif-8.1.34-r0.apk
31 KiB
php81-ffi-8.1.34-r0.apk
67 KiB
php81-fileinfo-8.1.34-r0.apk
384 KiB
php81-fpm-8.1.34-r0.apk
2.0 MiB
php81-ftp-8.1.34-r0.apk
23 KiB
php81-gd-8.1.34-r0.apk
148 KiB
php81-gettext-8.1.34-r0.apk
5.8 KiB
php81-gmp-8.1.34-r0.apk
20 KiB
php81-iconv-8.1.34-r0.apk
18 KiB
php81-imap-8.1.34-r0.apk
33 KiB
php81-intl-8.1.34-r0.apk
145 KiB
php81-ldap-8.1.34-r0.apk
32 KiB
php81-litespeed-8.1.34-r0.apk
1.9 MiB
php81-mbstring-8.1.34-r0.apk
574 KiB
php81-mysqli-8.1.34-r0.apk
41 KiB
php81-mysqlnd-8.1.34-r0.apk
84 KiB
php81-odbc-8.1.34-r0.apk
23 KiB
php81-opcache-8.1.34-r0.apk
73 KiB
php81-openssl-8.1.34-r0.apk
72 KiB
php81-pcntl-8.1.34-r0.apk
14 KiB
php81-pdo-8.1.34-r0.apk
42 KiB
php81-pdo_dblib-8.1.34-r0.apk
13 KiB
php81-pdo_mysql-8.1.34-r0.apk
14 KiB
php81-pdo_odbc-8.1.34-r0.apk
13 KiB
php81-pdo_pgsql-8.1.34-r0.apk
19 KiB
php81-pdo_sqlite-8.1.34-r0.apk
13 KiB
php81-pear-8.1.34-r0.apk
338 KiB
php81-pecl-amqp-2.2.0-r0.apk
54 KiB
php81-pecl-apcu-5.1.28-r0.apk
56 KiB
php81-pecl-ast-1.1.3-r0.apk
19 KiB
php81-pecl-brotli-0.18.3-r0.apk
15 KiB
php81-pecl-csv-0.4.3-r0.apk
10 KiB
php81-pecl-decimal-1.5.0-r1.apk
19 KiB
php81-pecl-ds-1.6.0-r0.apk
64 KiB
php81-pecl-event-3.1.4-r0.apk
51 KiB
php81-pecl-igbinary-3.2.17_rc1-r0.apk
36 KiB
php81-pecl-imagick-3.8.1-r0.apk
110 KiB
php81-pecl-imagick-dev-3.8.1-r0.apk
2.0 KiB
php81-pecl-immutable_cache-6.1.0-r0.apk
41 KiB
php81-pecl-luasandbox-4.1.2-r0.apk
32 KiB
php81-pecl-lzf-1.7.0-r0.apk
7.6 KiB
php81-pecl-mailparse-3.1.9-r0.apk
24 KiB
php81-pecl-maxminddb-1.13.0-r0.apk
8.2 KiB
php81-pecl-mcrypt-1.0.9-r0.apk
15 KiB
php81-pecl-memcache-8.2-r1.apk
43 KiB
php81-pecl-memcached-3.4.0-r0.apk
47 KiB
php81-pecl-memprof-3.1.0-r0.apk
14 KiB
php81-pecl-mongodb-2.1.4-r0.apk
831 KiB
php81-pecl-msgpack-3.0.0-r0.apk
26 KiB
php81-pecl-oauth-2.0.10-r0.apk
35 KiB
php81-pecl-opentelemetry-1.2.1-r0.apk
14 KiB
php81-pecl-pcov-1.0.12-r0.apk
9.8 KiB
php81-pecl-protobuf-4.32.1-r0.apk
150 KiB
php81-pecl-psr-1.2.0-r0.apk
17 KiB
php81-pecl-rdkafka-6.0.5-r0.apk
38 KiB
php81-pecl-redis-6.3.0-r0.apk
192 KiB
php81-pecl-smbclient-1.2.0_pre-r0.apk
21 KiB
php81-pecl-ssh2-1.4.1-r0.apk
28 KiB
php81-pecl-swoole-6.1.6-r0.apk
954 KiB
php81-pecl-swoole-dev-6.1.6-r0.apk
220 KiB
php81-pecl-timezonedb-2025.2-r0.apk
189 KiB
php81-pecl-uploadprogress-2.0.2-r1.apk
6.7 KiB
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
9.7 KiB
php81-pecl-uuid-1.3.0-r0.apk
6.7 KiB
php81-pecl-vips-1.0.13-r0.apk
17 KiB
php81-pecl-xdebug-3.5.0-r0.apk
157 KiB
php81-pecl-xhprof-2.3.10-r0.apk
13 KiB
php81-pecl-xhprof-assets-2.3.10-r0.apk
800 KiB
php81-pecl-xlswriter-1.5.8-r0.apk
232 KiB
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
38 KiB
php81-pecl-yaml-2.3.0-r0.apk
19 KiB
php81-pecl-zephir_parser-1.8.0-r0.apk
59 KiB
php81-pecl-zstd-0.15.2-r0.apk
17 KiB
php81-pgsql-8.1.34-r0.apk
46 KiB
php81-phar-8.1.34-r0.apk
124 KiB
php81-phpdbg-8.1.34-r0.apk
2.0 MiB
php81-posix-8.1.34-r0.apk
11 KiB
php81-pspell-8.1.34-r0.apk
8.0 KiB
php81-session-8.1.34-r0.apk
37 KiB
php81-shmop-8.1.34-r0.apk
6.1 KiB
php81-simplexml-8.1.34-r0.apk
23 KiB
php81-snmp-8.1.34-r0.apk
21 KiB
php81-soap-8.1.34-r0.apk
141 KiB
php81-sockets-8.1.34-r0.apk
37 KiB
php81-sodium-8.1.34-r0.apk
25 KiB
php81-sqlite3-8.1.34-r0.apk
21 KiB
php81-sysvmsg-8.1.34-r0.apk
7.5 KiB
php81-sysvsem-8.1.34-r0.apk
5.9 KiB
php81-sysvshm-8.1.34-r0.apk
6.7 KiB
php81-tideways_xhprof-5.0.4-r1.apk
14 KiB
php81-tidy-8.1.34-r0.apk
19 KiB
php81-tokenizer-8.1.34-r0.apk
12 KiB
php81-xml-8.1.34-r0.apk
19 KiB
php81-xmlreader-8.1.34-r0.apk
14 KiB
php81-xmlwriter-8.1.34-r0.apk
11 KiB
php81-xsl-8.1.34-r0.apk
14 KiB
php81-zip-8.1.34-r0.apk
26 KiB
php82-8.2.30-r1.apk
1.9 MiB
php82-apache2-8.2.30-r1.apk
1.9 MiB
php82-bcmath-8.2.30-r1.apk
20 KiB
php82-bz2-8.2.30-r1.apk
10 KiB
php82-calendar-8.2.30-r1.apk
14 KiB
php82-cgi-8.2.30-r1.apk
1.9 MiB
php82-common-8.2.30-r1.apk
25 KiB
php82-ctype-8.2.30-r1.apk
5.0 KiB
php82-curl-8.2.30-r1.apk
38 KiB
php82-dba-8.2.30-r1.apk
24 KiB
php82-dbg-8.2.30-r1.apk
41 MiB
php82-dev-8.2.30-r1.apk
968 KiB
php82-doc-8.2.30-r1.apk
73 KiB
php82-dom-8.2.30-r1.apk
65 KiB
php82-embed-8.2.30-r1.apk
1.9 MiB
php82-enchant-8.2.30-r1.apk
8.7 KiB
php82-exif-8.2.30-r1.apk
31 KiB
php82-ffi-8.2.30-r1.apk
69 KiB
php82-fileinfo-8.2.30-r1.apk
384 KiB
php82-fpm-8.2.30-r1.apk
2.0 MiB
php82-ftp-8.2.30-r1.apk
23 KiB
php82-gd-8.2.30-r1.apk
143 KiB
php82-gettext-8.2.30-r1.apk
5.9 KiB
php82-gmp-8.2.30-r1.apk
21 KiB
php82-iconv-8.2.30-r1.apk
19 KiB
php82-imap-8.2.30-r1.apk
34 KiB
php82-intl-8.2.30-r1.apk
163 KiB
php82-ldap-8.2.30-r1.apk
32 KiB
php82-litespeed-8.2.30-r1.apk
1.9 MiB
php82-mbstring-8.2.30-r1.apk
639 KiB
php82-mysqli-8.2.30-r1.apk
42 KiB
php82-mysqlnd-8.2.30-r1.apk
84 KiB
php82-odbc-8.2.30-r1.apk
24 KiB
php82-opcache-8.2.30-r1.apk
75 KiB
php82-openssl-8.2.30-r1.apk
74 KiB
php82-pcntl-8.2.30-r1.apk
15 KiB
php82-pdo-8.2.30-r1.apk
44 KiB
php82-pdo_dblib-8.2.30-r1.apk
13 KiB
php82-pdo_mysql-8.2.30-r1.apk
14 KiB
php82-pdo_odbc-8.2.30-r1.apk
14 KiB
php82-pdo_pgsql-8.2.30-r1.apk
20 KiB
php82-pdo_sqlite-8.2.30-r1.apk
13 KiB
php82-pear-8.2.30-r1.apk
338 KiB
php82-pecl-amqp-2.2.0-r0.apk
54 KiB
php82-pecl-apcu-5.1.28-r0.apk
56 KiB
php82-pecl-apfd-1.0.3-r0.apk
4.6 KiB
php82-pecl-ast-1.1.3-r0.apk
19 KiB
php82-pecl-brotli-0.18.3-r0.apk
15 KiB
php82-pecl-decimal-1.5.0-r1.apk
19 KiB
php82-pecl-ds-1.6.0-r0.apk
64 KiB
php82-pecl-event-3.1.4-r0.apk
51 KiB
php82-pecl-excimer-1.2.5-r0.apk
21 KiB
php82-pecl-igbinary-3.2.17_rc1-r0.apk
37 KiB
php82-pecl-imagick-3.8.1-r0.apk
110 KiB
php82-pecl-imagick-dev-3.8.1-r0.apk
2.0 KiB
php82-pecl-immutable_cache-6.1.0-r0.apk
41 KiB
php82-pecl-luasandbox-4.1.2-r0.apk
32 KiB
php82-pecl-lzf-1.7.0-r0.apk
7.5 KiB
php82-pecl-mailparse-3.1.9-r0.apk
24 KiB
php82-pecl-maxminddb-1.13.0-r0.apk
8.2 KiB
php82-pecl-mcrypt-1.0.9-r0.apk
15 KiB
php82-pecl-memcache-8.2-r2.apk
43 KiB
php82-pecl-memcached-3.4.0-r0.apk
47 KiB
php82-pecl-mongodb-2.1.4-r0.apk
831 KiB
php82-pecl-msgpack-3.0.0-r0.apk
26 KiB
php82-pecl-oauth-2.0.10-r0.apk
36 KiB
php82-pecl-opentelemetry-1.2.1-r0.apk
14 KiB
php82-pecl-pcov-1.0.12-r0.apk
9.9 KiB
php82-pecl-protobuf-4.32.1-r0.apk
150 KiB
php82-pecl-psr-1.2.0-r1.apk
17 KiB
php82-pecl-rdkafka-6.0.5-r0.apk
38 KiB
php82-pecl-redis-6.3.0-r0.apk
193 KiB
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
31 KiB
php82-pecl-smbclient-1.2.0_pre-r0.apk
21 KiB
php82-pecl-ssh2-1.4.1-r0.apk
28 KiB
php82-pecl-swoole-6.1.6-r0.apk
955 KiB
php82-pecl-swoole-dev-6.1.6-r0.apk
220 KiB
php82-pecl-teds-1.3.0-r0.apk
136 KiB
php82-pecl-timezonedb-2025.2-r0.apk
189 KiB
php82-pecl-uploadprogress-2.0.2-r2.apk
6.7 KiB
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
9.3 KiB
php82-pecl-uuid-1.3.0-r0.apk
6.7 KiB
php82-pecl-vips-1.0.13-r0.apk
17 KiB
php82-pecl-vld-0.19.1-r0.apk
16 KiB
php82-pecl-xdebug-3.5.0-r0.apk
157 KiB
php82-pecl-xhprof-2.3.10-r0.apk
13 KiB
php82-pecl-xhprof-assets-2.3.10-r0.apk
800 KiB
php82-pecl-xlswriter-1.5.8-r0.apk
232 KiB
php82-pecl-yaml-2.3.0-r0.apk
20 KiB
php82-pecl-zephir_parser-1.8.0-r0.apk
59 KiB
php82-pecl-zstd-0.15.2-r0.apk
17 KiB
php82-pgsql-8.2.30-r1.apk
46 KiB
php82-phar-8.2.30-r1.apk
124 KiB
php82-phpdbg-8.2.30-r1.apk
2.0 MiB
php82-posix-8.2.30-r1.apk
11 KiB
php82-pspell-8.2.30-r1.apk
7.9 KiB
php82-session-8.2.30-r1.apk
38 KiB
php82-shmop-8.2.30-r1.apk
6.1 KiB
php82-simplexml-8.2.30-r1.apk
23 KiB
php82-snappy-0.2.3-r0.apk
5.4 KiB
php82-snmp-8.2.30-r1.apk
22 KiB
php82-soap-8.2.30-r1.apk
144 KiB
php82-sockets-8.2.30-r1.apk
37 KiB
php82-sodium-8.2.30-r1.apk
26 KiB
php82-sqlite3-8.2.30-r1.apk
22 KiB
php82-sysvmsg-8.2.30-r1.apk
7.8 KiB
php82-sysvsem-8.2.30-r1.apk
5.8 KiB
php82-sysvshm-8.2.30-r1.apk
6.8 KiB
php82-tidy-8.2.30-r1.apk
19 KiB
php82-tokenizer-8.2.30-r1.apk
12 KiB
php82-xml-8.2.30-r1.apk
20 KiB
php82-xmlreader-8.2.30-r1.apk
15 KiB
php82-xmlwriter-8.2.30-r1.apk
11 KiB
php82-xsl-8.2.30-r1.apk
14 KiB
php82-zip-8.2.30-r1.apk
28 KiB
php83-pecl-apfd-1.0.3-r0.apk
4.6 KiB
php83-pecl-eio-3.1.4-r0.apk
29 KiB
php83-pecl-ev-1.2.2-r0.apk
42 KiB
php83-pecl-excimer-1.2.5-r0.apk
21 KiB
php83-pecl-oauth-2.0.10-r0.apk
36 KiB
php83-pecl-phpy-1.0.11-r1.apk
43 KiB
php83-pecl-uv-0.3.0-r0.apk
50 KiB
php83-pecl-vld-0.19.1-r0.apk
16 KiB
php83-pecl-zmq-1.1.4-r0.apk
32 KiB
php84-pecl-csv-0.4.3-r0.apk
9.9 KiB
php84-pecl-ev-1.2.2-r0.apk
42 KiB
php84-pecl-mcrypt-1.0.9-r0.apk
15 KiB
php84-pecl-memprof-3.1.0-r0.apk
14 KiB
php84-pecl-oauth-2.0.10-r0.apk
36 KiB
php84-pecl-phpy-1.0.11-r1.apk
43 KiB
php84-pecl-runkit7-4.0.0_alpha6-r0.apk
33 KiB
php84-pecl-solr-2.9.1-r0.apk
90 KiB
php84-pecl-uv-0.3.0-r0.apk
50 KiB
php84-pecl-vld-0.19.1-r0.apk
16 KiB
php84-snappy-0.2.3-r0.apk
5.4 KiB
php85-pecl-ev-1.2.2-r0.apk
42 KiB
php85-pecl-luasandbox-4.1.3-r0.apk
32 KiB
php85-pecl-oauth-2.0.10-r0.apk
37 KiB
php85-pecl-runkit7-4.0.0_alpha6-r0.apk
34 KiB
php85-pecl-solr-2.9.1-r0.apk
91 KiB
php85-pecl-vld-0.19.1-r1.apk
16 KiB
php85-snappy-0.2.3-r0.apk
5.4 KiB
phpactor-2025.10.17.0-r0.apk
3.6 MiB
pick-4.0.0-r0.apk
10 KiB
pick-doc-4.0.0-r0.apk
3.1 KiB
pidif-0.1-r1.apk
172 KiB
piglit-0_git20241106-r1.apk
93 MiB
pihole-6.2.3-r0.apk
5.8 MiB
pihole-bash-completion-6.2.3-r0.apk
1.9 KiB
pihole-doc-6.2.3-r0.apk
3.5 KiB
pihole-openrc-6.2.3-r0.apk
1.6 KiB
pikchr-cmd-1.0.0-r0.apk
47 KiB
pikchr-cmd-doc-1.0.0-r0.apk
2.0 KiB
pimd-3.0_git20220201-r0.apk
87 KiB
pimd-dense-2.1.0-r0.apk
54 KiB
pimd-dense-doc-2.1.0-r0.apk
19 KiB
pimd-dense-openrc-2.1.0-r0.apk
1.6 KiB
pimd-doc-3.0_git20220201-r0.apk
35 KiB
pimd-openrc-3.0_git20220201-r0.apk
1.6 KiB
pinentry-bemenu-0.14.0-r1.apk
8.7 KiB
piper-phonemize-2023.11.14.4-r9.apk
9.0 MiB
piper-phonemize-dev-2023.11.14.4-r9.apk
394 KiB
piper-phonemize-libs-2023.11.14.4-r9.apk
70 KiB
piper-tts-2023.11.14.2-r14.apk
130 KiB
piper-tts-dev-2023.11.14.2-r14.apk
141 KiB
pithos-1.6.1-r0.apk
104 KiB
pithos-doc-1.6.1-r0.apk
1.8 KiB
pithos-pyc-1.6.1-r0.apk
154 KiB
pitivi-2023.03-r2.apk
2.7 MiB
pitivi-lang-2023.03-r2.apk
678 KiB
pitivi-pyc-2023.03-r2.apk
700 KiB
pixi-0.24.2-r0.apk
9.1 MiB
pixi-bash-completion-0.24.2-r0.apk
6.9 KiB
pixi-doc-0.24.2-r0.apk
6.6 KiB
pixi-fish-completion-0.24.2-r0.apk
9.8 KiB
pixi-zsh-completion-0.24.2-r0.apk
10 KiB
pixiewps-1.4.2-r2.apk
56 KiB
pixiewps-doc-1.4.2-r2.apk
3.1 KiB
plakar-1.0.6-r1.apk
18 MiB
plakar-doc-1.0.6-r1.apk
1.8 KiB
planarity-4.0.1.0-r0.apk
26 KiB
planarity-dev-4.0.1.0-r0.apk
23 KiB
planarity-doc-4.0.1.0-r0.apk
13 KiB
planarity-libs-4.0.1.0-r0.apk
88 KiB
planner-0.14.92-r1.apk
370 KiB
planner-doc-0.14.92-r1.apk
1.9 KiB
planner-lang-0.14.92-r1.apk
824 KiB
please-0.5.6-r0.apk
1.0 MiB
please-doc-0.5.6-r0.apk
16 KiB
plfit-1.0.1-r0.apk
56 KiB
plfit-dev-1.0.1-r0.apk
6.2 KiB
plfit-libs-1.0.1-r0.apk
42 KiB
plfit-static-1.0.1-r0.apk
50 KiB
plib-1.8.5-r3.apk
945 KiB
plots-0.7.0-r1.apk
516 KiB
plplot-5.15.0-r2.apk
31 KiB
plplot-dev-5.15.0-r2.apk
59 KiB
plplot-doc-5.15.0-r2.apk
310 KiB
plplot-libs-5.15.0-r2.apk
205 KiB
pmccabe-2.8-r1.apk
28 KiB
pmccabe-doc-2.8-r1.apk
6.9 KiB
pneink-theme-1.3-r0.apk
9.6 KiB
pneink-theme-doc-1.3-r0.apk
1.4 KiB
pnmixer-0.7.2-r3.apk
143 KiB
pnmixer-doc-0.7.2-r3.apk
2.0 KiB
pnmixer-lang-0.7.2-r3.apk
24 KiB
pokoy-0.2.5-r0.apk
9.6 KiB
pokoy-doc-0.2.5-r0.apk
2.7 KiB
policycoreutils-3.6-r1.apk
70 KiB
policycoreutils-bash-completion-3.6-r1.apk
2.2 KiB
policycoreutils-doc-3.6-r1.apk
22 KiB
policycoreutils-lang-3.6-r1.apk
105 KiB
pomo-0.8.1-r28.apk
1.6 MiB
pomo-doc-0.8.1-r28.apk
2.5 KiB
pongoos-loader-0_git20210704-r1.apk
2.1 KiB
pop-cursor-theme-3.5.1-r0.apk
13 MiB
pop-icon-theme-3.5.1-r0.apk
1.3 MiB
popeye-0.22.1-r9.apk
27 MiB
porla-0.41.0-r2.apk
3.6 MiB
porla-doc-0.41.0-r2.apk
1.9 KiB
porla-openrc-0.41.0-r2.apk
2.4 KiB
portsmf-239-r2.apk
61 KiB
portsmf-dev-239-r2.apk
20 KiB
postgresql-hll-2.18-r0.apk
28 KiB
postgresql-hll-bitcode-2.18-r0.apk
56 KiB
postgresql-pg_later-0.0.14-r1.apk
654 KiB
postgresql-pg_variables-1.2.5_git20230922-r1.apk
24 KiB
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
48 KiB
postgresql-pgmq-1.1.1-r1.apk
284 KiB
postgresql16-wal2json-2.6-r0.apk
72 KiB
pounce-3.1-r4.apk
31 KiB
pounce-doc-3.1-r4.apk
8.3 KiB
pounce-openrc-3.1-r4.apk
2.5 KiB
powerstat-0.04.01-r0.apk
19 KiB
powerstat-bash-completion-0.04.01-r0.apk
2.0 KiB
powerstat-doc-0.04.01-r0.apk
4.0 KiB
pptpclient-1.10.0-r6.apk
33 KiB
pptpclient-doc-1.10.0-r6.apk
6.9 KiB
pqiv-2.12-r1.apk
68 KiB
pqiv-doc-2.12-r1.apk
12 KiB
predict-2.3.1-r0.apk
100 KiB
predict-doc-2.3.1-r0.apk
16 KiB
primecount-7.20-r0.apk
32 KiB
primecount-dev-7.20-r0.apk
2.0 MiB
primecount-doc-7.20-r0.apk
4.0 KiB
primecount-libs-7.20-r0.apk
163 KiB
primesieve-12.10-r0.apk
47 KiB
primesieve-dev-12.10-r0.apk
1.4 MiB
primesieve-doc-12.10-r0.apk
3.8 KiB
primesieve-libs-12.10-r0.apk
129 KiB
prjtrellis-1.4-r2.apk
1.3 MiB
prjtrellis-db-0_git20230929-r0.apk
3.0 KiB
prjtrellis-db-ecp5-0_git20230929-r0.apk
2.1 MiB
prjtrellis-db-machxo-0_git20230929-r0.apk
39 KiB
prjtrellis-db-machxo2-0_git20230929-r0.apk
1013 KiB
prjtrellis-db-machxo3-0_git20230929-r0.apk
1.1 MiB
prjtrellis-db-machxo3d-0_git20230929-r0.apk
748 KiB
projectm-3.1.12-r2.apk
455 KiB
projectm-dev-3.1.12-r2.apk
652 KiB
projectm-presets-3.1.12-r2.apk
4.4 MiB
projectm-pulseaudio-3.1.12-r2.apk
421 KiB
projectm-pulseaudio-doc-3.1.12-r2.apk
1.7 KiB
projectm-sdl-3.1.12-r2.apk
334 KiB
projectsandcastle-loader-0_git20200307-r1.apk
4.9 KiB
prometheus-ceph-exporter-4.2.5-r9.apk
3.5 MiB
prometheus-ceph-exporter-openrc-4.2.5-r9.apk
1.6 KiB
prometheus-fastly-exporter-10.2.0-r0.apk
4.6 MiB
prometheus-opnsense-exporter-0.0.11-r2.apk
4.7 MiB
prometheus-opnsense-exporter-openrc-0.0.11-r2.apk
1.8 KiB
prometheus-podman-exporter-1.18.1-r2.apk
14 MiB
prometheus-rethinkdb-exporter-1.0.1-r33.apk
4.1 MiB
prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk
1.4 KiB
prometheus-smartctl-exporter-0.14.0-r5.apk
4.5 MiB
prometheus-smartctl-exporter-openrc-0.14.0-r5.apk
1.6 KiB
prometheus-smtp2go-exporter-0.1.1-r4.apk
3.3 MiB
prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk
1.7 KiB
prometheus-unbound-exporter-0.4.6-r5.apk
3.5 MiB
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
1.7 KiB
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2.8 KiB
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
1.8 KiB
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2.6 KiB
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
1.8 KiB
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
3.1 KiB
prosody-mod-broadcast-0.11_hg20201208-r0.apk
1.8 KiB
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2.0 KiB
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
7.2 KiB
prosody-mod-conversejs-0.11_hg20201208-r0.apk
3.3 KiB
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2.8 KiB
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2.9 KiB
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2.0 KiB
prosody-mod-log_auth-0.11_hg20201208-r0.apk
1.8 KiB
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2.2 KiB
prosody-mod-mam-0.11_hg20201208-r0.apk
5.9 KiB
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
5.6 KiB
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
6.9 KiB
prosody-mod-pastebin-0.11_hg20201208-r0.apk
3.7 KiB
prosody-mod-register_json-0.11_hg20201208-r0.apk
104 KiB
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2.7 KiB
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2.0 KiB
prosody-mod-require_otr-0.11_hg20201208-r0.apk
1.7 KiB
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2.0 KiB
prosody-mod-saslname-0.11_hg20201208-r0.apk
1.6 KiB
prosody-mod-server_status-0.11_hg20201208-r0.apk
2.8 KiB
prosody-mod-smacks-0.11_hg20201208-r0.apk
8.6 KiB
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2.0 KiB
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2.0 KiB
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2.7 KiB
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2.6 KiB
prosody-modules-0.11_hg20201208-r0.apk
1.5 KiB
protoc-gen-bq-schema-3.1.0-r0.apk
1.6 MiB
protoc-gen-doc-1.5.1-r0.apk
3.4 MiB
protoc-gen-doc-doc-1.5.1-r0.apk
2.0 KiB
protoc-gen-go-1.36.10-r1.apk
2.2 MiB
protoc-gen-go-grpc-1.77.0-r0.apk
2.2 MiB
protoc-gen-gorm-1.1.5-r0.apk
2.4 MiB
protoconf-0.1.7-r18.apk
7.4 MiB
proverif-2.05-r1.apk
1.7 MiB
proverif-emacs-2.05-r1.apk
2.6 KiB
proverif-examples-2.05-r1.apk
165 KiB
proverif-interact-2.05-r1.apk
2.0 MiB
proverif-utils-2.05-r1.apk
482 KiB
psftools-1.1.2-r0.apk
304 KiB
psftools-dev-1.1.2-r0.apk
80 KiB
psftools-doc-1.1.2-r0.apk
59 KiB
psi-notify-1.3.1-r0.apk
11 KiB
pspp-2.0.1-r1.apk
9.7 MiB
pspp-dbg-2.0.1-r1.apk
4.2 MiB
pspp-doc-2.0.1-r1.apk
8.7 KiB
psst-0_git20240526-r1.apk
7.5 MiB
ptouch-print-1.7-r0.apk
30 KiB
ptouch-print-doc-1.7-r0.apk
2.9 KiB
ptpd-2.3.1-r1.apk
169 KiB
ptpd-doc-2.3.1-r1.apk
20 KiB
ptpd-openrc-2.3.1-r1.apk
2.1 KiB
ptylie-0.2-r2.apk
12 KiB
ptylie-doc-0.2-r2.apk
2.9 KiB
pug-0.6.5-r0.apk
3.4 MiB
pully-1.0.0-r0.apk
2.5 KiB
pully-openrc-1.0.0-r0.apk
1.7 KiB
pulsar-client-cpp-3.7.1-r0.apk
1.5 MiB
pulsar-client-cpp-dev-3.7.1-r0.apk
64 KiB
pulseview-0.4.2-r8.apk
1023 KiB
pulseview-doc-0.4.2-r8.apk
3.4 KiB
punch-0.1.1-r0.apk
29 KiB
punch-pyc-0.1.1-r0.apk
30 KiB
pure-1.23.0-r0.apk
18 KiB
pure-doc-1.23.0-r0.apk
7.7 KiB
purple-facebook-0.9.6-r0.apk
79 KiB
purple-hangouts-0_git20200422-r0.apk
231 KiB
pw-volume-0.5.0-r1.apk
347 KiB
pwauth-2.3.11-r2.apk
3.7 KiB
pwauth-doc-2.3.11-r2.apk
6.5 KiB
pwndbg-2025.10.20-r0.apk
654 KiB
pwndbg-doc-2025.10.20-r0.apk
3.5 KiB
pwndbg-pyc-2025.10.20-r0.apk
1.2 MiB
pwntools-4.15.0-r0.apk
3.2 MiB
pwntools-doc-4.15.0-r0.apk
37 KiB
pwntools-pyc-4.15.0-r0.apk
1.7 MiB
pwvucontrol-0.5.0-r0.apk
470 KiB
pwvucontrol-dbg-0.5.0-r0.apk
1.9 MiB
pwvucontrol-lang-0.5.0-r0.apk
11 KiB
pxalarm-3.0.0-r0.apk
2.6 KiB
pxmenu-1.0.0-r1.apk
2.6 KiB
py-spy-0.3.14-r3.apk
958 KiB
py-spy-bash-completion-0.3.14-r3.apk
2.1 KiB
py-spy-doc-0.3.14-r3.apk
2.0 KiB
py-spy-fish-completion-0.3.14-r3.apk
2.3 KiB
py-spy-zsh-completion-0.3.14-r3.apk
2.8 KiB
py3-actdiag-3.0.0-r5.apk
17 KiB
py3-actdiag-pyc-3.0.0-r5.apk
21 KiB
py3-aesedb-0.1.8-r0.apk
34 KiB
py3-aesedb-examples-0.1.8-r0.apk
3.2 KiB
py3-aesedb-pyc-0.1.8-r0.apk
75 KiB
py3-agithub-2.2.2-r7.apk
19 KiB
py3-agithub-pyc-2.2.2-r7.apk
21 KiB
py3-aiodocker-0.21.0-r1.apk
29 KiB
py3-aiodocker-pyc-0.21.0-r1.apk
60 KiB
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
446 KiB
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
51 KiB
py3-aiohttp-jinja2-1.6-r2.apk
12 KiB
py3-aiohttp-jinja2-pyc-1.6-r2.apk
9.0 KiB
py3-aiohttp-remotes-1.3.0-r0.apk
9.8 KiB
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
19 KiB
py3-aiohttp-session-2.12.1-r0.apk
10 KiB
py3-aiohttp-session-pyc-2.12.1-r0.apk
14 KiB
py3-aioopenssl-0.6.0-r4.apk
21 KiB
py3-aioopenssl-pyc-0.6.0-r4.apk
19 KiB
py3-aiosasl-0.5.0-r4.apk
29 KiB
py3-aiosasl-doc-0.5.0-r4.apk
16 KiB
py3-aiosasl-pyc-0.5.0-r4.apk
24 KiB
py3-aiosmb-0.4.14-r0.apk
585 KiB
py3-aiosmb-examples-0.4.14-r0.apk
37 KiB
py3-aiosmb-pyc-0.4.14-r0.apk
1.2 MiB
py3-aiowinreg-0.0.13-r0.apk
22 KiB
py3-aiowinreg-pyc-0.0.13-r0.apk
44 KiB
py3-aioxmpp-0.13.3-r3.apk
387 KiB
py3-aioxmpp-doc-0.13.3-r3.apk
18 KiB
py3-aioxmpp-pyc-0.13.3-r3.apk
673 KiB
py3-allfiles-1.0-r8.apk
3.3 KiB
py3-allfiles-pyc-1.0-r8.apk
3.0 KiB
py3-altgraph-0.17.4-r1.apk
20 KiB
py3-altgraph-pyc-0.17.4-r1.apk
29 KiB
py3-ansible-pylibssh-1.2.2-r0.apk
246 KiB
py3-anyascii-0.3.2-r1.apk
274 KiB
py3-anyascii-pyc-0.3.2-r1.apk
3.1 KiB
py3-apicula-0.11.1-r1.apk
8.5 MiB
py3-apicula-pyc-0.11.1-r1.apk
179 KiB
py3-apio-0.9.5-r0.apk
72 KiB
py3-apio-pyc-0.9.5-r0.apk
77 KiB
py3-apsw-3.51.1.0-r0.apk
884 KiB
py3-apsw-pyc-3.51.1.0-r0.apk
586 KiB
py3-apt-3.1.0-r0.apk
177 KiB
py3-apt-lang-3.1.0-r0.apk
79 KiB
py3-apt-pyc-3.1.0-r0.apk
119 KiB
py3-arcus-5.3.0-r5.apk
94 KiB
py3-asif-0.3.2-r3.apk
13 KiB
py3-asif-pyc-0.3.2-r3.apk
26 KiB
py3-ask-0.0.8-r8.apk
4.7 KiB
py3-ask-pyc-0.0.8-r8.apk
4.2 KiB
py3-astral-3.2-r3.apk
37 KiB
py3-astral-pyc-3.2-r3.apk
59 KiB
py3-asyauth-0.0.23-r0.apk
84 KiB
py3-asyauth-pyc-0.0.23-r0.apk
186 KiB
py3-asysocks-0.2.18-r0.apk
88 KiB
py3-asysocks-examples-0.2.18-r0.apk
35 KiB
py3-asysocks-pyc-0.2.18-r0.apk
319 KiB
py3-avro-1.11.3-r1.apk
97 KiB
py3-avro-pyc-1.11.3-r1.apk
191 KiB
py3-b2sdk-2.10.2-r0.apk
227 KiB
py3-b2sdk-pyc-2.10.2-r0.apk
429 KiB
py3-banal-1.0.6-r4.apk
6.6 KiB
py3-banal-pyc-1.0.6-r4.apk
7.0 KiB
py3-barcodenumber-0.2.1-r10.apk
16 KiB
py3-barcodenumber-pyc-0.2.1-r10.apk
4.0 KiB
py3-beartype-0.22.9-r0.apk
1.0 MiB
py3-beartype-pyc-0.22.9-r0.apk
744 KiB
py3-bencode-4.0.0-r1.apk
17 KiB
py3-bencode-pyc-4.0.0-r1.apk
10 KiB
py3-bibtexparser-1.4.3-r0.apk
40 KiB
py3-bibtexparser-pyc-1.4.3-r0.apk
48 KiB
py3-bidict-0.23.1-r1.apk
28 KiB
py3-bidict-pyc-0.23.1-r1.apk
28 KiB
py3-bite-parser-0.2.5-r0.apk
13 KiB
py3-bite-parser-pyc-0.2.5-r0.apk
23 KiB
py3-bitstruct-8.19.0-r1.apk
35 KiB
py3-bitstruct-pyc-8.19.0-r1.apk
12 KiB
py3-bleak-0.22.3-r0.apk
370 KiB
py3-blockchain-1.4.4-r7.apk
11 KiB
py3-blockchain-pyc-1.4.4-r7.apk
18 KiB
py3-blockdiag-3.0.0-r6.apk
68 KiB
py3-blockdiag-pyc-3.0.0-r6.apk
149 KiB
py3-blockdiag-tests-3.0.0-r6.apk
2.5 MiB
py3-bookkeeper-4.17.2-r0.apk
43 KiB
py3-bookkeeper-pyc-4.17.2-r0.apk
66 KiB
py3-bottle-api-0.0.4-r7.apk
4.6 KiB
py3-bottle-api-pyc-0.0.4-r7.apk
5.0 KiB
py3-bottle-pgsql-0.2-r5.apk
4.0 KiB
py3-bottle-redis-0.2.3-r6.apk
3.0 KiB
py3-bottle-redis-pyc-0.2.3-r6.apk
2.9 KiB
py3-bottle-renderer-0.1.1-r9.apk
3.7 KiB
py3-bottle-renderer-pyc-0.1.1-r9.apk
3.5 KiB
py3-bottle-request-0.2.0-r9.apk
3.0 KiB
py3-bottle-request-pyc-0.2.0-r9.apk
2.3 KiB
py3-bottle-rest-0.6.0-r1.apk
5.9 KiB
py3-bottle-rest-pyc-0.6.0-r1.apk
4.9 KiB
py3-bottle-session-1.0-r6.apk
9.9 KiB
py3-bottle-session-pyc-1.0-r6.apk
7.5 KiB
py3-bottle-sqlalchemy-0.4.3-r8.apk
4.6 KiB
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
5.4 KiB
py3-bottle-sqlite-0.2.0-r7.apk
4.4 KiB
py3-bottle-sqlite-pyc-0.2.0-r7.apk
5.0 KiB
py3-bottle-websocket-0.2.9-r8.apk
4.4 KiB
py3-bottle-websocket-pyc-0.2.9-r8.apk
2.9 KiB
py3-bottle-werkzeug-0.1.1-r9.apk
3.8 KiB
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
4.0 KiB
py3-bson-0.5.10-r6.apk
11 KiB
py3-bson-pyc-0.5.10-r6.apk
18 KiB
py3-businesstime-0.3.0-r9.apk
10 KiB
py3-businesstime-pyc-0.3.0-r9.apk
16 KiB
py3-c3d-0.5.2-r1.apk
32 KiB
py3-c3d-pyc-0.5.2-r1.apk
53 KiB
py3-caldav-2.2.3-r0.apk
112 KiB
py3-caldav-pyc-2.2.3-r0.apk
154 KiB
py3-cassandra-driver-3.29.2-r0.apk
286 KiB
py3-cassandra-driver-pyc-3.29.2-r0.apk
560 KiB
py3-catkin-pkg-0.5.2-r4.apk
57 KiB
py3-catkin-pkg-pyc-0.5.2-r4.apk
102 KiB
py3-cchardet-2.1.7-r5.apk
125 KiB
py3-cchardet-pyc-2.1.7-r5.apk
2.8 KiB
py3-cdio-2.1.1-r6.apk
101 KiB
py3-cdio-pyc-2.1.1-r6.apk
43 KiB
py3-certauth-1.3.0-r1.apk
8.4 KiB
py3-certauth-pyc-1.3.0-r1.apk
8.8 KiB
py3-chameleon-4.6.0-r0.apk
97 KiB
py3-chameleon-pyc-4.6.0-r0.apk
130 KiB
py3-ciso8601-2.3.3-r0.apk
16 KiB
py3-cjkwrap-2.2-r6.apk
4.3 KiB
py3-cjkwrap-pyc-2.2-r6.apk
5.0 KiB
py3-clang-next-22.0.0_pre20251226-r0.apk
34 KiB
py3-clang-next-pyc-22.0.0_pre20251226-r0.apk
61 KiB
py3-class-doc-1.25-r1.apk
5.8 KiB
py3-class-doc-pyc-1.25-r1.apk
8.5 KiB
py3-click-completion-0.5.2-r1.apk
10 KiB
py3-click-completion-pyc-0.5.2-r1.apk
14 KiB
py3-click-default-group-1.2.4-r1.apk
4.9 KiB
py3-click-default-group-pyc-1.2.4-r1.apk
4.3 KiB
py3-click-threading-0.5.0-r5.apk
6.1 KiB
py3-click-threading-pyc-0.5.0-r5.apk
7.6 KiB
py3-clickclick-20.10.2-r4.apk
7.7 KiB
py3-clickclick-pyc-20.10.2-r4.apk
9.5 KiB
py3-cmd2-2.4.3-r2.apk
139 KiB
py3-cmd2-pyc-2.4.3-r2.apk
222 KiB
py3-cobs-1.2.0-r4.apk
21 KiB
py3-cobs-pyc-1.2.0-r4.apk
12 KiB
py3-colander-2.0-r2.apk
62 KiB
py3-colander-pyc-2.0-r2.apk
42 KiB
py3-colorthief-0.2.1-r1.apk
7.1 KiB
py3-colorthief-pyc-0.2.1-r1.apk
9.8 KiB
py3-columnize-0.3.11-r4.apk
8.3 KiB
py3-columnize-pyc-0.3.11-r4.apk
7.2 KiB
py3-compdb-0.2.0-r8.apk
23 KiB
py3-compdb-doc-0.2.0-r8.apk
2.8 KiB
py3-compdb-pyc-0.2.0-r8.apk
39 KiB
py3-confluent-kafka-2.9.0-r0.apk
187 KiB
py3-confluent-kafka-pyc-2.9.0-r0.apk
227 KiB
py3-confusable-homoglyphs-3.3.1-r0.apk
137 KiB
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
9.1 KiB
py3-cookiecutter-2.6.0-r1.apk
35 KiB
py3-cookiecutter-doc-2.6.0-r1.apk
3.5 KiB
py3-cookiecutter-pyc-2.6.0-r1.apk
47 KiB
py3-coreapi-2.3.3-r9.apk
22 KiB
py3-coreapi-pyc-2.3.3-r9.apk
43 KiB
py3-crc16-0.1.1-r10.apk
12 KiB
py3-crc16-pyc-0.1.1-r10.apk
4.4 KiB
py3-createrepo_c-1.1.4-r1.apk
44 KiB
py3-createrepo_c-pyc-1.1.4-r1.apk
14 KiB
py3-croniter-6.0.0-r0.apk
26 KiB
py3-croniter-pyc-6.0.0-r0.apk
25 KiB
py3-cryptg-0.5.0-r0.apk
202 KiB
py3-cryptg-pyc-0.5.0-r0.apk
1.6 KiB
py3-cssutils-2.11.1-r1.apk
155 KiB
py3-cssutils-pyc-2.11.1-r1.apk
278 KiB
py3-cstruct-6.1-r0.apk
23 KiB
py3-cstruct-pyc-6.1-r0.apk
37 KiB
py3-cucumber-tag-expressions-6.1.1-r0.apk
8.4 KiB
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
10 KiB
py3-cvxpy-1.2.1-r5.apk
692 KiB
py3-cvxpy-pyc-1.2.1-r5.apk
936 KiB
py3-cython-test-exception-raiser-25.11.0-r0.apk
18 KiB
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
1.6 KiB
py3-dash-bootstrap-components-1.6.0-r0.apk
16 KiB
py3-dataclasses-json-0.6.7-r0.apk
27 KiB
py3-dataclasses-json-pyc-0.6.7-r0.apk
35 KiB
py3-dataclasses-serialization-1.3.1-r3.apk
11 KiB
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
14 KiB
py3-dateparser-1.2.2-r0.apk
216 KiB
py3-dateparser-pyc-1.2.2-r0.apk
335 KiB
py3-daterangestr-0.0.3-r8.apk
4.1 KiB
py3-daterangestr-pyc-0.0.3-r8.apk
4.0 KiB
py3-dbus-fast-3.1.2-r0.apk
841 KiB
py3-dbus-fast-doc-3.1.2-r0.apk
6.0 KiB
py3-dbus-fast-pyc-3.1.2-r0.apk
130 KiB
py3-deluge-client-1.10.2-r0.apk
13 KiB
py3-deluge-client-doc-1.10.2-r0.apk
2.0 KiB
py3-deluge-client-pyc-1.10.2-r0.apk
19 KiB
py3-dexml-0.5.1-r9.apk
22 KiB
py3-dexml-pyc-0.5.1-r9.apk
37 KiB
py3-discid-1.3.0-r0.apk
12 KiB
py3-discid-pyc-1.3.0-r0.apk
13 KiB
py3-distorm3-3.5.2-r6.apk
47 KiB
py3-distorm3-pyc-3.5.2-r6.apk
48 KiB
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
14 KiB
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
15 KiB
py3-django-suit-0.2.28-r8.apk
366 KiB
py3-django-suit-pyc-0.2.28-r8.apk
32 KiB
py3-django-taggit-serializer-0.1.7-r8.apk
3.8 KiB
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
4.7 KiB
py3-dnslib-0.9.26-r0.apk
56 KiB
py3-dnslib-pyc-0.9.26-r0.apk
109 KiB
py3-dogpile.cache-1.3.3-r1.apk
52 KiB
py3-dogpile.cache-pyc-1.3.3-r1.apk
90 KiB
py3-doi-0.2-r0.apk
5.9 KiB
py3-doi-pyc-0.2-r0.apk
4.5 KiB
py3-doit-0.36.0-r5.apk
76 KiB
py3-doit-pyc-0.36.0-r5.apk
133 KiB
py3-dominate-2.9.1-r1.apk
24 KiB
py3-dominate-pyc-2.9.1-r1.apk
34 KiB
py3-dotty-dict-1.3.1-r4.apk
8.1 KiB
py3-dotty-dict-pyc-1.3.1-r4.apk
8.4 KiB
py3-downloader-cli-0.3.4-r2.apk
11 KiB
py3-downloader-cli-pyc-0.3.4-r2.apk
14 KiB
py3-dpath-2.2.0-r0.apk
17 KiB
py3-dpath-pyc-2.2.0-r0.apk
18 KiB
py3-drf-yasg-1.21.10-r0.apk
4.0 MiB
py3-drf-yasg-pyc-1.21.10-r0.apk
98 KiB
py3-dunamai-1.25.0-r0.apk
27 KiB
py3-dunamai-pyc-1.25.0-r0.apk
44 KiB
py3-duniterpy-1.1.1-r3.apk
221 KiB
py3-dweepy-0.3.0-r7.apk
8.8 KiB
py3-dweepy-pyc-0.3.0-r7.apk
6.0 KiB
py3-ecbdata-0.1.1-r0.apk
13 KiB
py3-ecos-2.0.11-r4.apk
28 KiB
py3-ecos-pyc-2.0.11-r4.apk
3.4 KiB
py3-edalize-0.5.4-r0.apk
122 KiB
py3-edalize-pyc-0.5.4-r0.apk
190 KiB
py3-editdistance-s-1.0.0-r6.apk
15 KiB
py3-editdistance-s-pyc-1.0.0-r6.apk
1.8 KiB
py3-empy-3.3.4-r7.apk
39 KiB
py3-empy-pyc-3.3.4-r7.apk
58 KiB
py3-engineio-4.12.3-r0.apk
48 KiB
py3-engineio-doc-4.12.3-r0.apk
33 KiB
py3-engineio-pyc-4.12.3-r0.apk
104 KiB
py3-enlighten-1.14.1-r0.apk
37 KiB
py3-enlighten-pyc-1.14.1-r0.apk
46 KiB
py3-enzyme-0.5.2-r0.apk
22 KiB
py3-enzyme-pyc-0.5.2-r0.apk
18 KiB
py3-eradicate-2.3.0-r2.apk
7.3 KiB
py3-eradicate-doc-2.3.0-r2.apk
2.3 KiB
py3-eradicate-pyc-2.3.0-r2.apk
8.1 KiB
py3-euclid3-0.01-r8.apk
14 KiB
py3-euclid3-pyc-0.01-r8.apk
32 KiB
py3-evalidate-2.1.3-r0.apk
13 KiB
py3-evalidate-pyc-2.1.3-r0.apk
12 KiB
py3-eventlet-0.38.1-r0.apk
332 KiB
py3-eventlet-pyc-0.38.1-r0.apk
335 KiB
py3-evohome-client-0.3.9-r0.apk
19 KiB
py3-evohome-client-pyc-0.3.9-r0.apk
27 KiB
py3-fastavro-1.12.1-r0.apk
434 KiB
py3-fastavro-pyc-1.12.1-r0.apk
82 KiB
py3-fastdiff-0.3.0-r5.apk
38 KiB
py3-fastdiff-pyc-0.3.0-r5.apk
3.9 KiB
py3-feedgen-1.0.0-r1.apk
40 KiB
py3-feedgen-pyc-1.0.0-r1.apk
61 KiB
py3-feedgenerator-2.1.0-r2.apk
18 KiB
py3-feedgenerator-pyc-2.1.0-r2.apk
26 KiB
py3-ffmpeg-0.2.0-r5.apk
23 KiB
py3-ffmpeg-pyc-0.2.0-r5.apk
32 KiB
py3-firmata-1.0.3-r10.apk
14 KiB
py3-firmata-pyc-1.0.3-r10.apk
21 KiB
py3-flake8-blind-except-0.2.1-r4.apk
4.9 KiB
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2.3 KiB
py3-flake8-builtins-2.5.0-r0.apk
12 KiB
py3-flake8-builtins-pyc-2.5.0-r0.apk
7.6 KiB
py3-flake8-copyright-0.2.4-r3.apk
18 KiB
py3-flake8-copyright-pyc-0.2.4-r3.apk
3.1 KiB
py3-flake8-debugger-4.1.2-r4.apk
6.0 KiB
py3-flake8-debugger-pyc-4.1.2-r4.apk
5.7 KiB
py3-flake8-import-order-0.18.2-r4.apk
15 KiB
py3-flake8-import-order-pyc-0.18.2-r4.apk
16 KiB
py3-flake8-isort-7.0.0-r0.apk
18 KiB
py3-flake8-isort-pyc-7.0.0-r0.apk
5.1 KiB
py3-flake8-polyfill-1.0.2-r5.apk
6.8 KiB
py3-flake8-polyfill-pyc-1.0.2-r5.apk
5.4 KiB
py3-flake8-print-5.0.0-r5.apk
6.5 KiB
py3-flake8-print-pyc-5.0.0-r5.apk
4.2 KiB
py3-flake8-snippets-0.2-r8.apk
5.1 KiB
py3-flake8-snippets-pyc-0.2-r8.apk
3.4 KiB
py3-flake8-todo-0.7-r7.apk
3.3 KiB
py3-flake8-todo-pyc-0.7-r7.apk
2.0 KiB
py3-flask-accept-0.0.7-r0.apk
5.3 KiB
py3-flask-accept-pyc-0.0.7-r0.apk
3.4 KiB
py3-flask-admin-1.6.1-r3.apk
6.5 MiB
py3-flask-admin-pyc-1.6.1-r3.apk
358 KiB
py3-flask-autorouter-0.2.2-r3.apk
4.8 KiB
py3-flask-autorouter-pyc-0.2.2-r3.apk
4.7 KiB
py3-flask-basicauth-0.2.0-r9.apk
5.1 KiB
py3-flask-basicauth-pyc-0.2.0-r9.apk
3.8 KiB
py3-flask-bcrypt-1.0.1-r5.apk
6.9 KiB
py3-flask-bcrypt-pyc-1.0.1-r5.apk
5.5 KiB
py3-flask-bootstrap-3.3.7.1-r9.apk
448 KiB
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
11 KiB
py3-flask-cache-0.13.1-r9.apk
12 KiB
py3-flask-cache-pyc-0.13.1-r9.apk
18 KiB
py3-flask-cdn-1.5.3-r8.apk
4.5 KiB
py3-flask-cdn-pyc-1.5.3-r8.apk
3.8 KiB
py3-flask-components-0.1.1-r9.apk
3.7 KiB
py3-flask-components-pyc-0.1.1-r9.apk
3.0 KiB
py3-flask-dbconfig-0.3.12-r8.apk
85 KiB
py3-flask-dbconfig-pyc-0.3.12-r8.apk
6.0 KiB
py3-flask-flatpages-0.8.3-r0.apk
10 KiB
py3-flask-flatpages-pyc-0.8.3-r0.apk
13 KiB
py3-flask-gzip-0.2-r8.apk
2.9 KiB
py3-flask-gzip-pyc-0.2-r8.apk
2.6 KiB
py3-flask-headers-1.0-r9.apk
2.9 KiB
py3-flask-headers-pyc-1.0-r9.apk
2.2 KiB
py3-flask-httpauth-4.8.0-r3.apk
7.6 KiB
py3-flask-httpauth-pyc-4.8.0-r3.apk
10 KiB
py3-flask-json-schema-0.0.5-r4.apk
3.8 KiB
py3-flask-json-schema-pyc-0.0.5-r4.apk
3.2 KiB
py3-flask-limiter-3.10.1-r0.apk
26 KiB
py3-flask-limiter-pyc-3.10.1-r0.apk
47 KiB
py3-flask-loopback-1.4.7-r7.apk
5.3 KiB
py3-flask-loopback-pyc-1.4.7-r7.apk
7.7 KiB
py3-flask-mailman-1.1.1-r0.apk
16 KiB
py3-flask-mailman-pyc-1.1.1-r0.apk
26 KiB
py3-flask-markdown-0.3-r8.apk
5.4 KiB
py3-flask-markdown-pyc-0.3-r8.apk
3.6 KiB
py3-flask-migrate-4.1.0-r0.apk
13 KiB
py3-flask-migrate-pyc-4.1.0-r0.apk
18 KiB
py3-flask-paginate-0.8.1-r6.apk
8.0 KiB
py3-flask-paginate-pyc-0.8.1-r6.apk
11 KiB
py3-flask-peewee-3.0.6-r0.apk
172 KiB
py3-flask-peewee-pyc-3.0.6-r0.apk
95 KiB
py3-flask-qrcode-3.2.0-r0.apk
18 KiB
py3-flask-qrcode-pyc-3.2.0-r0.apk
5.9 KiB
py3-flask-restaction-0.25.3-r8.apk
115 KiB
py3-flask-restaction-pyc-0.25.3-r8.apk
20 KiB
py3-flask-restless-0.17.0-r9.apk
40 KiB
py3-flask-restless-pyc-0.17.0-r9.apk
59 KiB
py3-flask-security-5.6.2-r0.apk
296 KiB
py3-flask-security-pyc-5.6.2-r0.apk
227 KiB
py3-flask-socketio-5.5.1-r0.apk
18 KiB
py3-flask-socketio-doc-5.5.1-r0.apk
23 KiB
py3-flask-socketio-pyc-5.5.1-r0.apk
26 KiB
py3-flask-themer-2.0.0-r2.apk
7.6 KiB
py3-flask-themer-pyc-2.0.0-r2.apk
6.7 KiB
py3-forbiddenfruit-0.1.4-r2.apk
8.7 KiB
py3-forbiddenfruit-pyc-0.1.4-r2.apk
9.4 KiB
py3-fpdf-1.7.2-r5.apk
40 KiB
py3-fpdf-pyc-1.7.2-r5.apk
89 KiB
py3-freetype-py-2.5.1-r0.apk
161 KiB
py3-furl-2.1.4-r0.apk
27 KiB
py3-furl-pyc-2.1.4-r0.apk
32 KiB
py3-gdcm-3.2.2-r3.apk
685 KiB
py3-geoip-1.3.2-r4.apk
23 KiB
py3-gevent-websocket-0.10.1-r8.apk
20 KiB
py3-gevent-websocket-pyc-0.10.1-r8.apk
30 KiB
py3-git-versioner-7.1-r1.apk
12 KiB
py3-git-versioner-pyc-7.1-r1.apk
13 KiB
py3-github3-4.0.1-r1.apk
128 KiB
py3-github3-pyc-4.0.1-r1.apk
227 KiB
py3-glob2-0.7-r6.apk
10 KiB
py3-glob2-pyc-0.7-r6.apk
13 KiB
py3-gls-1.3.1-r1.apk
46 KiB
py3-gls-pyc-1.3.1-r1.apk
84 KiB
py3-google-trans-new-1.1.9-r2.apk
9.0 KiB
py3-google-trans-new-pyc-1.1.9-r2.apk
10 KiB
py3-googletrans-3.0.0-r5.apk
15 KiB
py3-googletrans-pyc-3.0.0-r5.apk
17 KiB
py3-grequests-0.7.0-r3.apk
6.5 KiB
py3-grequests-pyc-0.7.0-r3.apk
5.6 KiB
py3-gtkspellcheck-5.0.3-r1.apk
44 KiB
py3-gtkspellcheck-pyc-5.0.3-r1.apk
29 KiB
py3-halo-0.0.31-r5.apk
11 KiB
py3-halo-pyc-0.0.31-r5.apk
14 KiB
py3-hatch-openzim-0.2.0-r0.apk
24 KiB
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
24 KiB
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
12 KiB
py3-hatch-openzim-pyc-0.2.0-r0.apk
13 KiB
py3-helper-2.5.0-r5.apk
18 KiB
py3-helper-pyc-2.5.0-r5.apk
28 KiB
py3-hfst-3.16.2-r0.apk
368 KiB
py3-hg-git-1.1.1-r1.apk
70 KiB
py3-hg-git-pyc-1.1.1-r1.apk
106 KiB
py3-highctidh-1.0.2024092800-r0.apk
406 KiB
py3-highctidh-pyc-1.0.2024092800-r0.apk
11 KiB
py3-himitsu-0.0.9-r0.apk
5.5 KiB
py3-himitsu-pyc-0.0.9-r0.apk
7.3 KiB
py3-hishel-0.1.4-r0.apk
77 KiB
py3-hishel-pyc-0.1.4-r0.apk
143 KiB
py3-html5-parser-0.4.12-r1.apk
173 KiB
py3-html5-parser-pyc-0.4.12-r1.apk
22 KiB
py3-hurry.filesize-0.9-r8.apk
4.4 KiB
py3-hurry.filesize-pyc-0.9-r8.apk
3.0 KiB
py3-icalendar-searcher-1.0.3-r0.apk
35 KiB
py3-icalendar-searcher-pyc-1.0.3-r0.apk
26 KiB
py3-igraph-1.0.0-r0.apk
415 KiB
py3-igraph-dev-1.0.0-r0.apk
2.3 KiB
py3-igraph-pyc-1.0.0-r0.apk
374 KiB
py3-imageio-2.37.0-r0.apk
285 KiB
py3-imageio-ffmpeg-0.4.9-r1.apk
16 KiB
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
20 KiB
py3-imageio-pyc-2.37.0-r0.apk
504 KiB
py3-imdbpy-2021.4.18-r5.apk
229 KiB
py3-imdbpy-pyc-2021.4.18-r5.apk
242 KiB
py3-incoming-0.3.1-r8.apk
13 KiB
py3-incoming-pyc-0.3.1-r8.apk
20 KiB
py3-infinity-1.5-r6.apk
4.1 KiB
py3-infinity-pyc-1.5-r6.apk
3.5 KiB
py3-iniparse-0.5-r7.apk
18 KiB
py3-iniparse-doc-0.5-r7.apk
10 KiB
py3-iniparse-pyc-0.5-r7.apk
24 KiB
py3-intervals-0.9.2-r5.apk
9.2 KiB
py3-intervals-pyc-0.9.2-r5.apk
15 KiB
py3-ioctl-opt-1.3-r0.apk
11 KiB
py3-ioctl-opt-pyc-1.3-r0.apk
4.4 KiB
py3-irc-20.4.1-r1.apk
40 KiB
py3-irc-pyc-20.4.1-r1.apk
70 KiB
py3-isbnlib-3.10.14-r0.apk
42 KiB
py3-isbnlib-pyc-3.10.14-r0.apk
66 KiB
py3-iso639-lang-2.6.3-r0.apk
311 KiB
py3-iso639-lang-pyc-2.6.3-r0.apk
12 KiB
py3-itemadapter-0.10.0-r0.apk
11 KiB
py3-itemadapter-pyc-0.10.0-r0.apk
12 KiB
py3-itemloaders-1.3.2-r1.apk
12 KiB
py3-itemloaders-pyc-1.3.2-r1.apk
16 KiB
py3-iterable-io-1.0.1-r0.apk
5.7 KiB
py3-iterable-io-pyc-1.0.1-r0.apk
5.1 KiB
py3-itunespy-1.6-r5.apk
9.5 KiB
py3-itunespy-pyc-1.6-r5.apk
14 KiB
py3-janus-1.2.0-r0.apk
12 KiB
py3-janus-pyc-1.2.0-r0.apk
13 KiB
py3-jaraco.logging-3.4.0-r0.apk
5.1 KiB
py3-jaraco.logging-pyc-3.4.0-r0.apk
5.9 KiB
py3-jaraco.path-3.7.2-r0.apk
7.4 KiB
py3-jaraco.path-pyc-3.7.2-r0.apk
9.3 KiB
py3-jaraco.stream-3.0.4-r0.apk
6.5 KiB
py3-jaraco.stream-pyc-3.0.4-r0.apk
7.8 KiB
py3-jaraco.vcs-2.4.1-r0.apk
9.9 KiB
py3-jaraco.vcs-pyc-2.4.1-r0.apk
16 KiB
py3-jaraco.versioning-1.1.0-r0.apk
5.6 KiB
py3-jaraco.versioning-pyc-1.1.0-r0.apk
5.8 KiB
py3-joserfc-1.5.0-r0.apk
54 KiB
py3-joserfc-pyc-1.5.0-r0.apk
110 KiB
py3-kazoo-0_git20211202-r4.apk
125 KiB
py3-kazoo-pyc-0_git20211202-r4.apk
244 KiB
py3-keepalive-0.5-r5.apk
8.7 KiB
py3-keepalive-doc-0.5-r5.apk
1.7 KiB
py3-keepalive-pyc-0.5-r5.apk
13 KiB
py3-kerberos-1.3.1-r5.apk
17 KiB
py3-knowit-0.5.11-r0.apk
31 KiB
py3-knowit-pyc-0.5.11-r0.apk
61 KiB
py3-landlock-1.0.0_pre4-r2.apk
8.1 KiB
py3-landlock-pyc-1.0.0_pre4-r2.apk
9.3 KiB
py3-langcodes-3.3.0-r2.apk
173 KiB
py3-langcodes-pyc-3.3.0-r2.apk
110 KiB
py3-language-data-1.3.0-r0.apk
5.0 MiB
py3-language-data-pyc-1.3.0-r0.apk
3.0 MiB
py3-latex2mathml-3.78.1-r1.apk
72 KiB
py3-latex2mathml-pyc-3.78.1-r1.apk
34 KiB
py3-lib_users-0.15-r4.apk
15 KiB
py3-lib_users-pyc-0.15-r4.apk
9.2 KiB
py3-libacl-0.7.3-r0.apk
26 KiB
py3-libguestfs-1.56.1-r0.apk
187 KiB
py3-libiio-0.25-r2.apk
12 KiB
py3-liblarch-3.2.0-r6.apk
29 KiB
py3-liblarch-pyc-3.2.0-r6.apk
49 KiB
py3-libnacl-2.1.0-r1.apk
20 KiB
py3-libnacl-pyc-2.1.0-r1.apk
30 KiB
py3-libpyshell-0.4.1-r1.apk
11 KiB
py3-libpyshell-pyc-0.4.1-r1.apk
18 KiB
py3-librtmp-0.3.0-r6.apk
36 KiB
py3-librtmp-pyc-0.3.0-r6.apk
24 KiB
py3-limits-3.14.1-r0.apk
33 KiB
py3-limits-pyc-3.14.1-r0.apk
71 KiB
py3-linkify-it-py-2.0.3-r1.apk
21 KiB
py3-linkify-it-py-pyc-2.0.3-r1.apk
23 KiB
py3-litex-hub-modules-2024.04-r0.apk
1.4 KiB
py3-litex-hub-modules-pyc-2024.04-r0.apk
1.1 MiB
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
5.6 MiB
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
1.9 MiB
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
934 KiB
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
500 KiB
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
10 MiB
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
1.8 MiB
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
112 KiB
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
208 KiB
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
20 MiB
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
45 KiB
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
221 KiB
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
7.3 KiB
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
230 KiB
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
57 MiB
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
675 KiB
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
712 KiB
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2.4 MiB
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
58 KiB
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2.2 MiB
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
4.7 MiB
py3-litex-hub-valentyusb-2024.04-r0.apk
111 KiB
py3-livestream-2.1.0-r0.apk
766 KiB
py3-livestream-pyc-2.1.0-r0.apk
30 KiB
py3-log-symbols-0.0.14-r5.apk
3.9 KiB
py3-log-symbols-pyc-0.0.14-r5.apk
2.8 KiB
py3-logtop-0.7-r1.apk
23 KiB
py3-logtop-pyc-0.7-r1.apk
3.8 KiB
py3-lsp-black-2.0.0-r1.apk
7.2 KiB
py3-lsp-black-pyc-2.0.0-r1.apk
6.1 KiB
py3-lsp-mypy-0.7.0-r1.apk
13 KiB
py3-lsp-mypy-pyc-0.7.0-r1.apk
12 KiB
py3-lsprotocol-2023.0.1-r1.apk
69 KiB
py3-lsprotocol-pyc-2023.0.1-r1.apk
107 KiB
py3-luhn-0.2.0-r9.apk
3.7 KiB
py3-luhn-pyc-0.2.0-r9.apk
2.4 KiB
py3-lunr-0.6.2-r4.apk
32 KiB
py3-lunr-pyc-0.6.2-r4.apk
50 KiB
py3-lxmf-0.8.0-r0.apk
50 KiB
py3-lxmf-pyc-0.8.0-r0.apk
109 KiB
py3-ly-0.9.9-r0.apk
186 KiB
py3-ly-doc-0.9.9-r0.apk
7.8 KiB
py3-ly-pyc-0.9.9-r0.apk
352 KiB
py3-lzo-1.16-r1.apk
17 KiB
py3-lzo-pyc-1.16-r1.apk
1.7 KiB
py3-m2crypto-0.41.0-r2.apk
195 KiB
py3-m2crypto-pyc-0.41.0-r2.apk
122 KiB
py3-maidenhead-1.8.0-r0.apk
7.7 KiB
py3-maidenhead-doc-1.8.0-r0.apk
3.4 KiB
py3-maidenhead-pyc-1.8.0-r0.apk
7.7 KiB
py3-mando-0.8.2-r0.apk
21 KiB
py3-mando-doc-0.8.2-r0.apk
3.9 KiB
py3-mando-pyc-0.8.2-r0.apk
35 KiB
py3-manuel-1.13.0-r1.apk
39 KiB
py3-manuel-pyc-1.13.0-r1.apk
25 KiB
py3-mapbox-earcut-1.0.1-r2.apk
64 KiB
py3-marisa-trie-1.3.1-r0.apk
133 KiB
py3-markdown2-2.5.0-r0.apk
47 KiB
py3-markdown2-pyc-2.5.0-r0.apk
75 KiB
py3-markdownify-1.2.2-r0.apk
16 KiB
py3-markdownify-pyc-1.2.2-r0.apk
18 KiB
py3-marshmallow-3.26.1-r0.apk
47 KiB
py3-marshmallow-enum-1.5.1-r7.apk
5.0 KiB
py3-marshmallow-enum-pyc-1.5.1-r7.apk
4.2 KiB
py3-marshmallow-pyc-3.26.1-r0.apk
85 KiB
py3-mbedtls-2.10.1-r3.apk
947 KiB
py3-mbedtls-pyc-2.10.1-r3.apk
27 KiB
py3-meshtastic-2.7.2-r0.apk
540 KiB
py3-migen-0.9.2-r2.apk
142 KiB
py3-migen-pyc-0.9.2-r2.apk
295 KiB
py3-milc-1.9.1-r0.apk
26 KiB
py3-milc-pyc-1.9.1-r0.apk
41 KiB
py3-minidb-2.0.8-r0.apk
9.8 KiB
py3-minidb-pyc-2.0.8-r0.apk
23 KiB
py3-minidump-0.0.24-r1.apk
63 KiB
py3-minidump-pyc-0.0.24-r1.apk
129 KiB
py3-minikerberos-0.4.9-r0.apk
123 KiB
py3-minikerberos-examples-0.4.9-r0.apk
17 KiB
py3-minikerberos-pyc-0.4.9-r0.apk
287 KiB
py3-minio-7.2.18-r0.apk
75 KiB
py3-minio-pyc-7.2.18-r0.apk
155 KiB
py3-mistletoe-1.4.0-r0.apk
44 KiB
py3-mistletoe-pyc-1.4.0-r0.apk
93 KiB
py3-mistune1-0.8.4-r6.apk
14 KiB
py3-mistune1-pyc-0.8.4-r6.apk
21 KiB
py3-mnemonic-0.21-r0.apk
95 KiB
py3-mnemonic-doc-0.21-r0.apk
2.1 KiB
py3-mnemonic-pyc-0.21-r0.apk
9.4 KiB
py3-modbus-tk-1.1.1-r4.apk
24 KiB
py3-modbus-tk-pyc-1.1.1-r4.apk
48 KiB
py3-modern_colorthief-0.1.7-r0.apk
807 KiB
py3-modern_colorthief-pyc-0.1.7-r0.apk
2.3 KiB
py3-mopidy-jellyfin-1.0.4-r4.apk
24 KiB
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
36 KiB
py3-mopidy-local-3.3.0-r0.apk
28 KiB
py3-mopidy-local-pyc-3.3.0-r0.apk
34 KiB
py3-mopidy-mpd-3.3.0-r4.apk
46 KiB
py3-mopidy-mpd-pyc-3.3.0-r4.apk
74 KiB
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
24 KiB
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
39 KiB
py3-mopidy-tidal-0.3.2-r6.apk
24 KiB
py3-mopidy-tidal-pyc-0.3.2-r6.apk
35 KiB
py3-more-properties-1.1.1-r3.apk
7.2 KiB
py3-more-properties-pyc-1.1.1-r3.apk
8.0 KiB
py3-moviepy-1.0.3-r6.apk
91 KiB
py3-moviepy-pyc-1.0.3-r6.apk
153 KiB
py3-msldap-0.5.15-r2.apk
126 KiB
py3-msldap-examples-0.5.15-r2.apk
18 KiB
py3-msldap-pyc-0.5.15-r2.apk
330 KiB
py3-mss-10.0.0-r0.apk
50 KiB
py3-natpmp-1.3.2-r1.apk
9.2 KiB
py3-natpmp-pyc-1.3.2-r1.apk
9.6 KiB
py3-ncclient-0.6.13-r5.apk
68 KiB
py3-ncclient-pyc-0.6.13-r5.apk
106 KiB
py3-netifaces2-0.0.22-r0.apk
208 KiB
py3-netifaces2-pyc-0.0.22-r0.apk
9.0 KiB
py3-netmiko-4.6.0-r0.apk
192 KiB
py3-netmiko-pyc-4.6.0-r0.apk
372 KiB
py3-nikola-8.3.3-r0.apk
4.3 MiB
py3-nikola-doc-8.3.3-r0.apk
61 KiB
py3-nikola-pyc-8.3.3-r0.apk
545 KiB
py3-nmap-0.7.1-r4.apk
20 KiB
py3-nmap-pyc-0.7.1-r4.apk
25 KiB
py3-nose-timer-1.0.1-r6.apk
9.1 KiB
py3-nose-timer-pyc-1.0.1-r6.apk
9.7 KiB
py3-notifymail-1.1-r8.apk
7.3 KiB
py3-notifymail-pyc-1.1-r8.apk
5.5 KiB
py3-nptyping-2.5.0-r3.apk
21 KiB
py3-nptyping-pyc-2.5.0-r3.apk
32 KiB
py3-ntplib-0.4.0-r5.apk
7.1 KiB
py3-ntplib-pyc-0.4.0-r5.apk
8.4 KiB
py3-numpy-stl-3.2.0-r0.apk
21 KiB
py3-numpy-stl-pyc-3.2.0-r0.apk
28 KiB
py3-nwdiag-3.0.0-r3.apk
4.9 MiB
py3-nwdiag-pyc-3.0.0-r3.apk
78 KiB
py3-okonomiyaki-2.0.0-r1.apk
7.9 MiB
py3-okonomiyaki-pyc-2.0.0-r1.apk
243 KiB
py3-openapi-codec-1.3.2-r9.apk
7.4 KiB
py3-openapi-codec-pyc-1.3.2-r9.apk
12 KiB
py3-opendht-3.1.11-r0.apk
148 KiB
py3-openssh-wrapper-0.5_git20130425-r4.apk
8.0 KiB
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
10 KiB
py3-openwisp-utils-1.0.4-r4.apk
497 KiB
py3-openwisp-utils-pyc-1.0.4-r4.apk
42 KiB
py3-orderedmultidict-1.0.1-r7.apk
12 KiB
py3-orderedmultidict-pyc-1.0.1-r7.apk
17 KiB
py3-osqp-0.6.2-r6.apk
115 KiB
py3-osqp-dev-0.6.2-r6.apk
49 KiB
py3-osqp-pyc-0.6.2-r6.apk
76 KiB
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
9.7 KiB
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
8.0 KiB
py3-ovos-backend-client-1.0.0-r0.apk
46 KiB
py3-ovos-backend-client-pyc-1.0.0-r0.apk
91 KiB
py3-ovos-bus-client-1.3.4-r0.apk
50 KiB
py3-ovos-bus-client-pyc-1.3.4-r0.apk
89 KiB
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
103 KiB
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
163 KiB
py3-ovos-config-2.1.1-r0.apk
47 KiB
py3-ovos-config-pyc-2.1.1-r0.apk
34 KiB
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
358 KiB
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
436 KiB
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
8.6 KiB
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
4.5 KiB
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
550 KiB
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
86 KiB
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
47 KiB
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
103 KiB
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
7.8 KiB
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
3.1 KiB
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
11 KiB
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
8.6 KiB
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
7.9 KiB
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
3.6 KiB
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
8.5 KiB
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
4.8 KiB
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
4.3 KiB
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
4.1 KiB
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
95 KiB
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
11 KiB
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
12 KiB
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
9.4 KiB
py3-ovos-phal-plugin-system-1.3.3-r0.apk
11 KiB
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
9.8 KiB
py3-ovos-plugin-manager-1.0.3-r0.apk
86 KiB
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
167 KiB
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
9.8 KiB
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
6.5 KiB
py3-ovos-translate-server-plugin-0.0.0-r0.apk
8.1 KiB
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
3.8 KiB
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
12 KiB
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
11 KiB
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
9.3 KiB
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
5.2 KiB
py3-ovos-utils-0.8.1-r0.apk
72 KiB
py3-ovos-utils-pyc-0.8.1-r0.apk
130 KiB
py3-ovos-workshop-7.0.6-r0.apk
92 KiB
py3-ovos-workshop-pyc-7.0.6-r0.apk
165 KiB
py3-owslib-0.35.0-r0.apk
195 KiB
py3-owslib-pyc-0.35.0-r0.apk
425 KiB
py3-pacparser-1.4.5-r1.apk
396 KiB
py3-pacparser-pyc-1.4.5-r1.apk
3.8 KiB
py3-padacioso-0.2.1-r0.apk
11 KiB
py3-padacioso-pyc-0.2.1-r0.apk
12 KiB
py3-pam-2.0.2-r2.apk
11 KiB
py3-pam-pyc-2.0.2-r2.apk
13 KiB
py3-pathvalidate-3.3.1-r0.apk
19 KiB
py3-pathvalidate-pyc-3.3.1-r0.apk
33 KiB
py3-pbkdf2-1.3-r7.apk
6.0 KiB
py3-pbkdf2-pyc-1.3-r7.apk
6.8 KiB
py3-pcbnewtransition-0.5.2-r0.apk
7.6 KiB
py3-pcbnewtransition-pyc-0.5.2-r0.apk
9.6 KiB
py3-pdal-3.4.5-r1.apk
182 KiB
py3-pdal-pyc-3.4.5-r1.apk
13 KiB
py3-pdoc-15.0.4-r0.apk
132 KiB
py3-pdoc-pyc-15.0.4-r0.apk
169 KiB
py3-pelican-4.9.1-r2.apk
234 KiB
py3-pelican-pyc-4.9.1-r2.apk
147 KiB
py3-pep8-naming-0.14.1-r0.apk
9.5 KiB
py3-pep8-naming-pyc-0.14.1-r0.apk
13 KiB
py3-phpserialize-1.3-r8.apk
8.6 KiB
py3-phpserialize-pyc-1.3-r8.apk
10 KiB
py3-phx-class-registry-5.0.0-r0.apk
13 KiB
py3-phx-class-registry-doc-5.0.0-r0.apk
2.0 KiB
py3-phx-class-registry-pyc-5.0.0-r0.apk
17 KiB
py3-piccata-2.0.3-r1.apk
20 KiB
py3-piccata-pyc-2.0.3-r1.apk
34 KiB
py3-pickle-secure-0.99.9-r1.apk
7.2 KiB
py3-pickle-secure-pyc-0.99.9-r1.apk
5.1 KiB
py3-pillow_heif-0.18.0-r0.apk
45 KiB
py3-pillow_heif-pyc-0.18.0-r0.apk
35 KiB
py3-pip-system-certs-4.0-r1.apk
6.7 KiB
py3-pip-system-certs-pyc-4.0-r1.apk
4.4 KiB
py3-piper-phonemize-2023.11.14.4-r9.apk
144 KiB
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
3.1 KiB
py3-piper-tts-2023.11.14.2-r14.apk
41 KiB
py3-playsound-1.3.0-r1.apk
6.6 KiB
py3-playsound-pyc-1.3.0-r1.apk
8.3 KiB
py3-plotly-5.24.1-r2.apk
20 MiB
py3-pltable-1.1.0-r1.apk
18 KiB
py3-pltable-pyc-1.1.0-r1.apk
33 KiB
py3-pockethernet-0.7.0-r4.apk
14 KiB
py3-pockethernet-pyc-0.7.0-r4.apk
25 KiB
py3-poetry-dynamic-versioning-1.9.1-r0.apk
20 KiB
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
26 KiB
py3-poppler-qt5-21.3.0-r2.apk
128 KiB
py3-ppk2-api-0.9.2-r0.apk
16 KiB
py3-ppk2-api-pyc-0.9.2-r0.apk
16 KiB
py3-pprintpp-0.4.0-r1.apk
14 KiB
py3-pprintpp-pyc-0.4.0-r1.apk
16 KiB
py3-prctl-1.8.1-r0.apk
13 KiB
py3-prctl-pyc-1.8.1-r0.apk
7.0 KiB
py3-prefixed-0.9.0-r0.apk
14 KiB
py3-prefixed-pyc-0.9.0-r0.apk
8.3 KiB
py3-print-color-0.4.6-r0.apk
8.6 KiB
py3-print-color-doc-0.4.6-r0.apk
2.4 KiB
py3-print-color-pyc-0.4.6-r0.apk
4.7 KiB
py3-proglog-0.1.10-r2.apk
7.1 KiB
py3-proglog-pyc-0.1.10-r2.apk
9.7 KiB
py3-protego-0.3.1-r0.apk
9.1 KiB
py3-protego-pyc-0.3.1-r0.apk
11 KiB
py3-proxmoxer-2.2.0-r0.apk
17 KiB
py3-proxmoxer-pyc-2.2.0-r0.apk
28 KiB
py3-pure_protobuf-3.1.2-r0.apk
21 KiB
py3-pure_protobuf-pyc-3.1.2-r0.apk
37 KiB
py3-py-radix-0.10.0-r11.apk
22 KiB
py3-py-radix-pyc-0.10.0-r11.apk
10 KiB
py3-pyatem-0.5.0-r4.apk
53 KiB
py3-pyatem-pyc-0.5.0-r4.apk
91 KiB
py3-pyautogui-0.9.53-r5.apk
35 KiB
py3-pyautogui-pyc-0.9.53-r5.apk
45 KiB
py3-pybars3-0.9.7-r6.apk
15 KiB
py3-pybars3-pyc-0.9.7-r6.apk
17 KiB
py3-pycaption-2.2.15-r0.apk
360 KiB
py3-pycolorterm-0.2.1-r6.apk
5.2 KiB
py3-pycolorterm-pyc-0.2.1-r6.apk
3.5 KiB
py3-pycosat-0.6.6-r2.apk
48 KiB
py3-pydes-2.0.1-r5.apk
10 KiB
py3-pydes-doc-2.0.1-r5.apk
3.4 KiB
py3-pydes-pyc-2.0.1-r5.apk
13 KiB
py3-pygelbooru-1.0.0-r0.apk
20 KiB
py3-pygelbooru-pyc-1.0.0-r0.apk
11 KiB
py3-pygfm-2.0.0-r2.apk
12 KiB
py3-pygfm-pyc-2.0.0-r2.apk
13 KiB
py3-pyglet-2.1.5-r1.apk
890 KiB
py3-pyglet-pyc-2.1.5-r1.apk
1.6 MiB
py3-pyglm-2.7.3-r0.apk
1.2 MiB
py3-pygpgme-0.3.1-r9.apk
38 KiB
py3-pygpgme-pyc-0.3.1-r9.apk
4.8 KiB
py3-pygtail-0.14.0-r3.apk
15 KiB
py3-pygtail-pyc-0.14.0-r3.apk
9.8 KiB
py3-pyinstaller-6.6.0-r0.apk
1.9 MiB
py3-pyinstaller-pyc-6.6.0-r0.apk
502 KiB
py3-pyinstrument-5.1.2-r0.apk
111 KiB
py3-pyinstrument-pyc-5.1.2-r0.apk
102 KiB
py3-pyisbn-1.3.1-r3.apk
20 KiB
py3-pyisbn-pyc-1.3.1-r3.apk
9.1 KiB
py3-pylru-1.2.1-r1.apk
16 KiB
py3-pylru-pyc-1.2.1-r1.apk
8.7 KiB
py3-pymaging-0.0.20130908-r10.apk
18 KiB
py3-pymaging-png-0.0.20130727-r10.apk
35 KiB
py3-pymaging-png-pyc-0.0.20130727-r10.apk
52 KiB
py3-pymaging-pyc-0.0.20130908-r10.apk
31 KiB
py3-pymata-2.20-r4.apk
22 KiB
py3-pymata-pyc-2.20-r4.apk
29 KiB
py3-pymata4-1.15-r4.apk
23 KiB
py3-pymata4-pyc-1.15-r4.apk
31 KiB
py3-pymeta3-0.5.1-r6.apk
16 KiB
py3-pymeta3-pyc-0.5.1-r6.apk
31 KiB
py3-pymsgbox-1.0.9-r5.apk
8.9 KiB
py3-pymsgbox-pyc-1.0.9-r5.apk
9.6 KiB
py3-pymsteams-0.2.5-r0.apk
12 KiB
py3-pymsteams-pyc-0.2.5-r0.apk
6.3 KiB
py3-pynest2d-5.2.2-r5.apk
274 KiB
py3-pyparted-3.13.0-r1.apk
78 KiB
py3-pyparted-pyc-3.13.0-r1.apk
42 KiB
py3-pypubsub-4.0.3-r0.apk
52 KiB
py3-pypubsub-doc-4.0.3-r0.apk
1.9 KiB
py3-pypubsub-pyc-4.0.3-r0.apk
90 KiB
py3-pyqrcode-1.2.1-r0.apk
36 KiB
py3-pyqrcode-doc-1.2.1-r0.apk
4.0 KiB
py3-pyqrcode-pyc-1.2.1-r0.apk
47 KiB
py3-pyroma-4.2-r0.apk
22 KiB
py3-pyroma-pyc-4.2-r0.apk
26 KiB
py3-pyscreeze-0.1.29-r3.apk
14 KiB
py3-pyscreeze-pyc-0.1.29-r3.apk
14 KiB
py3-pysimplesoap-1.16.2-r7.apk
43 KiB
py3-pysimplesoap-pyc-1.16.2-r7.apk
78 KiB
py3-pysonic-1.0.3-r0.apk
34 KiB
py3-pysonic-pyc-1.0.3-r0.apk
32 KiB
py3-pyspinel-1.0.3-r1.apk
56 KiB
py3-pyspinel-pyc-1.0.3-r1.apk
63 KiB
py3-pysrt-1.1.2-r5.apk
25 KiB
py3-pysrt-pyc-1.1.2-r5.apk
22 KiB
py3-pystache-0.6.5-r1.apk
68 KiB
py3-pystache-pyc-0.6.5-r1.apk
96 KiB
py3-pysubs2-1.8.0-r0.apk
36 KiB
py3-pysubs2-pyc-1.8.0-r0.apk
68 KiB
py3-pytaglib-3.0.0-r0.apk
40 KiB
py3-pytaglib-pyc-3.0.0-r0.apk
2.6 KiB
py3-pytap2-2.3.0-r0.apk
6.7 KiB
py3-pytap2-doc-2.3.0-r0.apk
2.5 KiB
py3-pytap2-pyc-2.3.0-r0.apk
5.7 KiB
py3-pyte-0.8.2-r3.apk
30 KiB
py3-pyte-pyc-0.8.2-r3.apk
39 KiB
py3-pytest-datadir-1.8.0-r0.apk
6.8 KiB
py3-pytest-datadir-pyc-1.8.0-r0.apk
5.1 KiB
py3-pytest-expect-1.1.0-r10.apk
5.6 KiB
py3-pytest-expect-pyc-1.1.0-r10.apk
6.7 KiB
py3-pytest-home-0.6.0-r0.apk
4.3 KiB
py3-pytest-home-pyc-0.6.0-r0.apk
2.5 KiB
py3-pytest-html-4.1.1-r1.apk
21 KiB
py3-pytest-html-pyc-4.1.1-r1.apk
22 KiB
py3-pytest-metadata-3.1.1-r0.apk
9.9 KiB
py3-pytest-metadata-pyc-3.1.1-r0.apk
7.6 KiB
py3-pytest-regtest-2.3.5-r0.apk
16 KiB
py3-pytest-regtest-pyc-2.3.5-r0.apk
30 KiB
py3-pytest-subprocess-1.5.2-r0.apk
19 KiB
py3-pytest-subprocess-pyc-1.5.2-r0.apk
24 KiB
py3-pytest-textual-snapshot-1.1.0-r0.apk
8.9 KiB
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
10 KiB
py3-python-archive-0.2-r7.apk
7.1 KiB
py3-python-archive-pyc-0.2-r7.apk
9.1 KiB
py3-python-iptables-1.2.0-r1.apk
38 KiB
py3-python-iptables-pyc-1.2.0-r1.apk
68 KiB
py3-python-jwt-4.1.0-r2.apk
7.8 KiB
py3-python-jwt-pyc-4.1.0-r2.apk
6.2 KiB
py3-python-logstash-0.4.8-r4.apk
8.3 KiB
py3-python-logstash-doc-0.4.8-r4.apk
2.0 KiB
py3-python-logstash-pyc-0.4.8-r4.apk
8.2 KiB
py3-python-stdnum-1.20-r0.apk
806 KiB
py3-python-stdnum-pyc-1.20-r0.apk
293 KiB
py3-pyvcd-0.4.1-r0.apk
22 KiB
py3-pyvcd-pyc-0.4.1-r0.apk
40 KiB
py3-pyzor-1.1.2-r0.apk
40 KiB
py3-pyzor-pyc-1.1.2-r0.apk
54 KiB
py3-qasync-0.19.0-r2.apk
37 KiB
py3-qdldl-0.1.5-r4.apk
102 KiB
py3-qpageview-0.6.2-r1.apk
98 KiB
py3-qpageview-doc-0.6.2-r1.apk
56 KiB
py3-qpageview-pyc-0.6.2-r1.apk
180 KiB
py3-qt.py-1.3.10-r1.apk
32 KiB
py3-qt.py-pyc-1.3.10-r1.apk
24 KiB
py3-quebra-frases-0.3.7-r1.apk
8.6 KiB
py3-quebra-frases-pyc-0.3.7-r1.apk
7.6 KiB
py3-queuelib-1.8.0-r0.apk
12 KiB
py3-queuelib-pyc-1.8.0-r0.apk
24 KiB
py3-r2pipe-5.9.0-r0.apk
12 KiB
py3-r2pipe-doc-5.9.0-r0.apk
22 KiB
py3-r2pipe-pyc-5.9.0-r0.apk
21 KiB
py3-rabbit-1.1.0-r8.apk
11 KiB
py3-rabbit-pyc-1.1.0-r8.apk
15 KiB
py3-radon-6.0.1-r2.apk
31 KiB
py3-radon-doc-6.0.1-r2.apk
4.9 KiB
py3-radon-pyc-6.0.1-r2.apk
49 KiB
py3-recommonmark-0.7.1-r4.apk
12 KiB
py3-recommonmark-pyc-0.7.1-r4.apk
17 KiB
py3-recurring-ical-events-3.8.0-r0.apk
39 KiB
py3-recurring-ical-events-pyc-3.8.0-r0.apk
50 KiB
py3-redmine-2.5.0-r0.apk
36 KiB
py3-redmine-pyc-2.5.0-r0.apk
53 KiB
py3-remind-0.19.2-r0.apk
24 KiB
py3-remind-pyc-0.19.2-r0.apk
22 KiB
py3-requests-cache-1.2.1-r1.apk
50 KiB
py3-requests-cache-pyc-1.2.1-r1.apk
94 KiB
py3-requests-kerberos-0.15.0-r0.apk
12 KiB
py3-requests-kerberos-pyc-0.15.0-r0.apk
11 KiB
py3-requests-wsgi-adapter-0.4.1-r1.apk
5.3 KiB
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
6.3 KiB
py3-rfc-bibtex-0.3.2-r7.apk
13 KiB
py3-rfc-bibtex-pyc-0.3.2-r7.apk
12 KiB
py3-rich-click-1.7.3-r1.apk
30 KiB
py3-rich-click-pyc-1.7.3-r1.apk
40 KiB
py3-riotctrl-0.5.0-r4.apk
13 KiB
py3-riotctrl-pyc-0.5.0-r4.apk
10 KiB
py3-rns-1.0.0-r0.apk
344 KiB
py3-rns-pyc-1.0.0-r0.apk
704 KiB
py3-rofi-1.0.1-r1.apk
12 KiB
py3-rofi-pyc-1.0.1-r1.apk
12 KiB
py3-rosdistro-0.9.0-r3.apk
47 KiB
py3-rosdistro-pyc-0.9.0-r3.apk
91 KiB
py3-rospkg-1.2.9-r5.apk
28 KiB
py3-rospkg-pyc-1.2.9-r5.apk
54 KiB
py3-rpio-0.10.1-r8.apk
39 KiB
py3-rpio-pyc-0.10.1-r8.apk
16 KiB
py3-rst-0.1-r9.apk
5.3 KiB
py3-rst-pyc-0.1-r9.apk
5.8 KiB
py3-rst.linker-2.6.0-r0.apk
5.8 KiB
py3-rst.linker-pyc-2.6.0-r0.apk
6.4 KiB
py3-rst2ansi-0.1.5-r0.apk
12 KiB
py3-rst2ansi-doc-0.1.5-r0.apk
2.0 KiB
py3-rst2ansi-pyc-0.1.5-r0.apk
24 KiB
py3-rtree-1.4.1-r0.apk
26 KiB
py3-rtree-pyc-1.4.1-r0.apk
48 KiB
py3-schema-0.7.7-r0.apk
19 KiB
py3-schema-pyc-0.7.7-r0.apk
20 KiB
py3-scour-0.38.2-r1.apk
56 KiB
py3-scour-pyc-0.38.2-r1.apk
74 KiB
py3-scrapy-2.13.4-r0.apk
252 KiB
py3-scrapy-pyc-2.13.4-r0.apk
521 KiB
py3-scs-3.2.3-r4.apk
118 KiB
py3-scs-pyc-3.2.3-r4.apk
4.7 KiB
py3-senf-1.5.0-r0.apk
20 KiB
py3-senf-pyc-1.5.0-r0.apk
32 KiB
py3-seqdiag-3.0.0-r5.apk
2.5 MiB
py3-seqdiag-pyc-3.0.0-r5.apk
42 KiB
py3-setuptools-lint-0.6.0-r9.apk
5.2 KiB
py3-setuptools-lint-pyc-0.6.0-r9.apk
5.7 KiB
py3-sh-2.1.0-r0.apk
38 KiB
py3-sh-pyc-2.1.0-r0.apk
55 KiB
py3-shodan-1.31.0-r1.apk
44 KiB
py3-shodan-doc-1.31.0-r1.apk
6.9 KiB
py3-shodan-pyc-1.31.0-r1.apk
79 KiB
py3-simber-0.2.6-r5.apk
12 KiB
py3-simber-pyc-0.2.6-r5.apk
16 KiB
py3-simple-websocket-1.1.0-r0.apk
11 KiB
py3-simple-websocket-doc-1.1.0-r0.apk
2.0 KiB
py3-simple-websocket-pyc-1.1.0-r0.apk
22 KiB
py3-simpleeval-1.0.3-r0.apk
15 KiB
py3-simpleeval-pyc-1.0.3-r0.apk
16 KiB
py3-simplematch-1.4-r1.apk
7.7 KiB
py3-simplematch-pyc-1.4-r1.apk
5.5 KiB
py3-simplesat-0.8.2-r0.apk
214 KiB
py3-simplesat-pyc-0.8.2-r0.apk
156 KiB
py3-simplesoapy-1.5.1-r7.apk
7.6 KiB
py3-simplesoapy-pyc-1.5.1-r7.apk
12 KiB
py3-simplespectral-1.0.0-r5.apk
7.2 KiB
py3-simplespectral-pyc-1.0.0-r5.apk
7.8 KiB
py3-slidge-style-parser-0.1.9-r0.apk
237 KiB
py3-slidge-style-parser-pyc-0.1.9-r0.apk
1.7 KiB
py3-slixmpp-1.8.5-r2.apk
383 KiB
py3-slixmpp-doc-1.8.5-r2.apk
5.5 KiB
py3-slixmpp-pyc-1.8.5-r2.apk
729 KiB
py3-snapshottest-0.6.0-r5.apk
15 KiB
py3-snapshottest-pyc-0.6.0-r5.apk
26 KiB
py3-soappy-0.52.30-r0.apk
47 KiB
py3-soappy-pyc-0.52.30-r0.apk
95 KiB
py3-soapy_power-1.6.1-r5.apk
17 KiB
py3-soapy_power-pyc-1.6.1-r5.apk
26 KiB
py3-socketio-5.13.0-r0.apk
62 KiB
py3-socketio-doc-5.13.0-r0.apk
36 KiB
py3-socketio-pyc-5.13.0-r0.apk
126 KiB
py3-solidpython-1.1.2-r2.apk
78 KiB
py3-solidpython-pyc-1.1.2-r2.apk
120 KiB
py3-sortedcollections-2.1.0-r5.apk
10 KiB
py3-sortedcollections-pyc-2.1.0-r5.apk
14 KiB
py3-spake2-0.9-r0.apk
30 KiB
py3-spake2-pyc-0.9-r0.apk
43 KiB
py3-sphinx-argparse-0.5.2-r0.apk
14 KiB
py3-sphinx-argparse-pyc-0.5.2-r0.apk
22 KiB
py3-sphinx-autoapi-3.6.1-r0.apk
31 KiB
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
59 KiB
py3-sphinx-theme-better-0.1.5-r7.apk
10 KiB
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
1.7 KiB
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
1.2 MiB
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2.1 KiB
py3-sphinx-theme-bw-0.1.8-r7.apk
64 KiB
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
1.6 KiB
py3-sphinx-theme-cloud-1.10.0-r2.apk
81 KiB
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
42 KiB
py3-sphinx-theme-epfl-1.1.1-r9.apk
30 KiB
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2.2 KiB
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2.4 MiB
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
5.3 KiB
py3-sphinx-theme-readable-1.3.0-r9.apk
8.6 KiB
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2.0 KiB
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
7.4 KiB
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
9.0 KiB
py3-sphinxcontrib-adadomain-0.2-r9.apk
8.7 KiB
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
12 KiB
py3-sphinxcontrib-bitbucket-1.0-r8.apk
5.4 KiB
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
3.8 KiB
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
7.3 KiB
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
8.9 KiB
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
5.5 KiB
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
4.0 KiB
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
18 KiB
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
33 KiB
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
8.9 KiB
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
3.2 KiB
py3-sphinxcontrib-gist-0.1.0-r9.apk
3.7 KiB
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
3.1 KiB
py3-sphinxcontrib-git-11.0.0-r7.apk
17 KiB
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
6.4 KiB
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
7.6 KiB
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
7.4 KiB
py3-sphinxcontrib-htsql-0.1.5-r8.apk
10 KiB
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
15 KiB
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
18 KiB
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
4.1 KiB
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
34 KiB
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
21 KiB
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
44 KiB
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
10 KiB
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
15 KiB
py3-sphinxcontrib-issuetracker-0.11-r7.apk
11 KiB
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
12 KiB
py3-sphinxcontrib-lassodomain-0.4-r8.apk
7.7 KiB
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
10 KiB
py3-sphinxcontrib-manpage-0.6-r8.apk
3.9 KiB
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2.9 KiB
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
10 KiB
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
16 KiB
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
8.5 KiB
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
11 KiB
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
11 KiB
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
17 KiB
py3-sphinxcontrib-programoutput-0.17-r5.apk
16 KiB
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
24 KiB
py3-sphinxcontrib-restbuilder-0.3-r6.apk
11 KiB
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
20 KiB
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
7.4 KiB
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
9.0 KiB
py3-sphinxcontrib-slide-1.0.0-r4.apk
4.6 KiB
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
5.3 KiB
py3-sphinxcontrib-spelling-8.0.1-r0.apk
13 KiB
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
19 KiB
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
7.1 KiB
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
4.7 KiB
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
5.8 KiB
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
5.4 KiB
py3-spidev-3.6-r1.apk
14 KiB
py3-spin-0.8-r0.apk
18 KiB
py3-spin-pyc-0.8-r0.apk
24 KiB
py3-spinners-0.0.24-r5.apk
5.8 KiB
py3-spinners-pyc-0.0.24-r5.apk
6.0 KiB
py3-spnego-0.11.2-r0.apk
118 KiB
py3-spnego-pyc-0.11.2-r0.apk
219 KiB
py3-spotipy-2.24.0-r3.apk
29 KiB
py3-spotipy-pyc-2.24.0-r3.apk
49 KiB
py3-sqlmodel-0.0.22-r1.apk
26 KiB
py3-sqlmodel-pyc-0.0.22-r1.apk
41 KiB
py3-sssd-2.11.1-r2.apk
63 KiB
py3-sssd-pyc-2.11.1-r2.apk
48 KiB
py3-sstash-0.17-r9.apk
7.5 KiB
py3-sstash-pyc-0.17-r9.apk
10 KiB
py3-svglib-1.5.1-r0.apk
30 KiB
py3-svglib-doc-1.5.1-r0.apk
1.8 KiB
py3-svglib-pyc-1.5.1-r0.apk
42 KiB
py3-svgpath-7.0-r0.apk
18 KiB
py3-svgpath-pyc-7.0-r0.apk
24 KiB
py3-swagger-ui-bundle-1.1.0-r1.apk
2.5 MiB
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
1.8 KiB
py3-synapse-auto-accept-invite-1.2.0-r1.apk
9.5 KiB
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
5.4 KiB
py3-tailer-0.4.1-r7.apk
6.6 KiB
py3-tailer-pyc-0.4.1-r7.apk
6.4 KiB
py3-tasklib-2.5.1-r2.apk
23 KiB
py3-tasklib-pyc-2.5.1-r2.apk
51 KiB
py3-telegram-bot-22.5-r0.apk
497 KiB
py3-telegram-bot-pyc-22.5-r0.apk
802 KiB
py3-telegram-text-0.2.0-r1.apk
8.9 KiB
py3-telegram-text-pyc-0.2.0-r1.apk
13 KiB
py3-telemetrix-1.20-r3.apk
21 KiB
py3-telemetrix-pyc-1.20-r3.apk
31 KiB
py3-teletype-1.3.4-r3.apk
15 KiB
py3-teletype-pyc-1.3.4-r3.apk
20 KiB
py3-testresources-2.0.1-r6.apk
17 KiB
py3-testresources-pyc-2.0.1-r6.apk
16 KiB
py3-textual-5.3.0-r0.apk
612 KiB
py3-textual-pyc-5.3.0-r0.apk
1.2 MiB
py3-thefuzz-0.22.1-r1.apk
9.8 KiB
py3-thefuzz-pyc-0.22.1-r1.apk
8.7 KiB
py3-ticket-auth-0.1.4-r9.apk
5.7 KiB
py3-ticket-auth-pyc-0.1.4-r9.apk
6.1 KiB
py3-tidalapi-0.8.4-r0.apk
50 KiB
py3-tidalapi-pyc-0.8.4-r0.apk
90 KiB
py3-timeago-1.0.16-r0.apk
23 KiB
py3-timeago-doc-1.0.16-r0.apk
2.6 KiB
py3-timeago-pyc-1.0.16-r0.apk
27 KiB
py3-tls_parser-2.0.2-r0.apk
10 KiB
py3-tls_parser-pyc-2.0.2-r0.apk
17 KiB
py3-tlslite-ng-0.7.6-r8.apk
178 KiB
py3-tlslite-ng-pyc-0.7.6-r8.apk
274 KiB
py3-tokenizers-0.21.2-r0.apk
1.7 MiB
py3-tokenizers-pyc-0.21.2-r0.apk
29 KiB
py3-tpm2-pytss-2.3.0-r1.apk
276 KiB
py3-tpm2-pytss-pyc-2.3.0-r1.apk
236 KiB
py3-trakit-0.2.5-r0.apk
19 KiB
py3-trakit-pyc-0.2.5-r0.apk
16 KiB
py3-transitions-0.9.2-r0.apk
98 KiB
py3-transitions-pyc-0.9.2-r0.apk
128 KiB
py3-translationstring-1.4-r4.apk
8.9 KiB
py3-translationstring-pyc-1.4-r4.apk
8.5 KiB
py3-trimesh-4.10.0-r0.apk
676 KiB
py3-trimesh-pyc-4.10.0-r0.apk
789 KiB
py3-trivup-0.12.2-r2.apk
34 KiB
py3-trivup-pyc-0.12.2-r2.apk
55 KiB
py3-truststore-0.10.4-r0.apk
18 KiB
py3-truststore-pyc-0.10.4-r0.apk
26 KiB
py3-twiggy-0.5.1-r4.apk
24 KiB
py3-twiggy-pyc-0.5.1-r4.apk
38 KiB
py3-typing_inspect-0.9.0-r2.apk
9.7 KiB
py3-typing_inspect-pyc-0.9.0-r2.apk
14 KiB
py3-u-msgpack-2.8.0-r2.apk
11 KiB
py3-u-msgpack-pyc-2.8.0-r2.apk
16 KiB
py3-uacme-desec-1.2.1-r0.apk
5.4 KiB
py3-uacme-desec-doc-1.2.1-r0.apk
1.9 KiB
py3-uacme-desec-pyc-1.2.1-r0.apk
6.5 KiB
py3-uc-micro-py-1.0.3-r0.apk
6.3 KiB
py3-uc-micro-py-pyc-1.0.3-r0.apk
4.3 KiB
py3-unearth-0.18.0-r0.apk
40 KiB
py3-unearth-pyc-0.18.0-r0.apk
82 KiB
py3-unicorn-2.1.4-r0.apk
50 KiB
py3-unicorn-pyc-2.1.4-r0.apk
92 KiB
py3-unicrypto-0.0.12-r0.apk
58 KiB
py3-unicrypto-pyc-0.0.12-r0.apk
92 KiB
py3-unidns-0.0.4-r0.apk
14 KiB
py3-unidns-examples-0.0.4-r0.apk
2.4 KiB
py3-unidns-pyc-0.0.4-r0.apk
25 KiB
py3-uptime-3.0.1-r9.apk
9.8 KiB
py3-uptime-pyc-3.0.1-r9.apk
8.4 KiB
py3-urlobject-2.4.3-r9.apk
14 KiB
py3-urlobject-pyc-2.4.3-r9.apk
24 KiB
py3-us-3.2.0-r0.apk
14 KiB
py3-us-pyc-3.2.0-r0.apk
15 KiB
py3-utc-0.0.3-r9.apk
3.2 KiB
py3-utc-pyc-0.0.3-r9.apk
2.5 KiB
py3-vatnumber-1.2-r9.apk
18 KiB
py3-vatnumber-pyc-1.2-r9.apk
8.4 KiB
py3-vdf-3.4-r2.apk
11 KiB
py3-vdf-pyc-3.4-r2.apk
16 KiB
py3-venusian-3.1.1-r0.apk
14 KiB
py3-venusian-pyc-3.1.1-r0.apk
12 KiB
py3-virtualenvwrapper-6.1.1-r1.apk
21 KiB
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
12 KiB
py3-visitor-0.1.3-r7.apk
4.4 KiB
py3-visitor-pyc-0.1.3-r7.apk
2.4 KiB
py3-ward-0.67.0_beta0-r2.apk
40 KiB
py3-ward-pyc-0.67.0_beta0-r2.apk
79 KiB
py3-wbdata-1.0.0-r1.apk
18 KiB
py3-wbdata-pyc-1.0.0-r1.apk
19 KiB
py3-wg-netns-2.3.1-r1.apk
7.3 KiB
py3-wg-netns-pyc-2.3.1-r1.apk
13 KiB
py3-wgconfig-1.1.0-r0.apk
22 KiB
py3-wgconfig-pyc-1.1.0-r0.apk
11 KiB
py3-wifi-0.3.8-r7.apk
13 KiB
py3-wifi-pyc-0.3.8-r7.apk
14 KiB
py3-wikipedia-1.4.0-r0.apk
12 KiB
py3-wikipedia-doc-1.4.0-r0.apk
3.9 KiB
py3-wikipedia-pyc-1.4.0-r0.apk
16 KiB
py3-winacl-0.1.9-r1.apk
82 KiB
py3-winacl-pyc-0.1.9-r1.apk
131 KiB
py3-wsgiprox-1.5.2-r1.apk
16 KiB
py3-wsgiprox-pyc-1.5.2-r1.apk
28 KiB
py3-wstools-0.4.10-r7.apk
53 KiB
py3-wstools-pyc-0.4.10-r7.apk
110 KiB
py3-wtf-peewee-3.0.6-r0.apk
12 KiB
py3-wtf-peewee-pyc-3.0.6-r0.apk
24 KiB
py3-x-wr-timezone-2.0.1-r0.apk
11 KiB
py3-x-wr-timezone-pyc-2.0.1-r0.apk
6.8 KiB
py3-xapp-3.0.1-r0.apk
72 KiB
py3-xdoctest-1.2.0-r0.apk
312 KiB
py3-xsdata-25.7-r0.apk
189 KiB
py3-xsdata-pyc-25.7-r0.apk
392 KiB
py3-yapsy-1.12.2-r7.apk
32 KiB
py3-yapsy-pyc-1.12.2-r7.apk
47 KiB
py3-yara-4.5.4-r0.apk
19 KiB
py3-yosys-0.57-r0.apk
556 KiB
py3-youtube-search-1.6.6-r5.apk
78 KiB
py3-youtube-search-pyc-1.6.6-r5.apk
95 KiB
py3-zimscraperlib-3.4.0-r0.apk
51 KiB
py3-zimscraperlib-pyc-3.4.0-r0.apk
68 KiB
py3-zipfile2-0.0.12-r0.apk
45 KiB
py3-zipfile2-pyc-0.0.12-r0.apk
29 KiB
py3-zope-configuration-7.0-r0.apk
38 KiB
py3-zope-configuration-pyc-7.0-r0.apk
49 KiB
py3-zope-i18nmessageid-8.2-r0.apk
15 KiB
py3-zope-i18nmessageid-pyc-8.2-r0.apk
8.1 KiB
py3-zope-schema-7.0.1-r3.apk
45 KiB
py3-zope-schema-pyc-7.0.1-r3.apk
61 KiB
pyinfra-3.3.1-r0.apk
192 KiB
pyinfra-pyc-3.3.1-r0.apk
361 KiB
pympress-1.8.6-r0.apk
179 KiB
pympress-doc-1.8.6-r0.apk
74 KiB
pympress-lang-1.8.6-r0.apk
58 KiB
pympress-pyc-1.8.6-r0.apk
182 KiB
pyonji-0.1.0-r11.apk
2.8 MiB
pypy-7.3.19-r0.apk
16 MiB
pypy-bootstrap-7.3.19-r0.apk
16 MiB
pypy-dev-7.3.19-r0.apk
74 KiB
pypy-tkinter-7.3.19-r0.apk
447 KiB
pypykatz-0.6.13-r1.apk
316 KiB
pypykatz-pyc-0.6.13-r1.apk
724 KiB
pyradio-0.9.3.11-r0.apk
870 KiB
pyradio-doc-0.9.3.11-r0.apk
112 KiB
pyradio-pyc-0.9.3.11-r0.apk
810 KiB
q6voiced-0.2.1-r0.apk
4.8 KiB
q6voiced-doc-0.2.1-r0.apk
2.0 KiB
q6voiced-openrc-0.2.1-r0.apk
1.5 KiB
q6voiced-systemd-0.2.1-r0.apk
1.6 KiB
qadwaitadecorations-0.1.7-r1.apk
50 KiB
qbittorrent-cli-2.2.0-r5.apk
5.5 MiB
qdjango-0.6.2-r1.apk
106 KiB
qdjango-dev-0.6.2-r1.apk
14 KiB
qflipper-1.3.3-r1.apk
525 KiB
qflipper-gui-1.3.3-r1.apk
1.1 MiB
qmk-cli-1.2.0-r0.apk
14 KiB
qmk-cli-pyc-1.2.0-r0.apk
23 KiB
qmk-cli-udev-1.2.0-r0.apk
2.1 KiB
qml-box2d-0_git20180406-r0.apk
149 KiB
qoi-0.0.0_git20230312-r0.apk
1.2 KiB
qoi-dev-0.0.0_git20230312-r0.apk
6.6 KiB
qoiconv-0.0.0_git20230312-r0.apk
31 KiB
qownnotes-25.12.5-r0.apk
2.6 MiB
qownnotes-lang-25.12.5-r0.apk
4.9 MiB
qpdfview-0.5-r2.apk
1.0 MiB
qpdfview-doc-0.5-r2.apk
4.0 KiB
qperf-0.4.11-r2.apk
32 KiB
qperf-doc-0.4.11-r2.apk
5.3 KiB
qqc2-suru-style-0.20230206-r1.apk
175 KiB
qspectrumanalyzer-2.2.0-r5.apk
54 KiB
qspectrumanalyzer-pyc-2.2.0-r5.apk
62 KiB
qsstv-9.5.8-r2.apk
1.0 MiB
qstardict-2.0.2-r1.apk
456 KiB
qstardict-doc-2.0.2-r1.apk
10 KiB
qsynth-1.0.3-r0.apk
443 KiB
qsynth-doc-1.0.3-r0.apk
4.1 KiB
qt-creator-18.0.1-r0.apk
52 MiB
qt-creator-dbg-18.0.1-r0.apk
478 MiB
qt-wayland-shell-helpers-0.1.1-r3.apk
13 KiB
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
3.7 KiB
qt5ct-1.9-r0.apk
233 KiB
qt5ct-dev-1.9-r0.apk
1.3 KiB
qt6-qtgraphs-6.10.1-r0.apk
1.2 MiB
qt6-qtgraphs-dev-6.10.1-r0.apk
118 KiB
qt6ct-0.11-r2.apk
196 KiB
qtile-0.33.0-r0.apk
469 KiB
qtile-pyc-0.33.0-r0.apk
858 KiB
qtmir-0.7.2_git20250407-r5.apk
540 KiB
qtmir-dev-0.7.2_git20250407-r5.apk
6.4 KiB
qtpass-1.4.0-r0.apk
424 KiB
qtpass-doc-1.4.0-r0.apk
1.9 KiB
quakespasm-0.96.3-r0.apk
560 KiB
queercat-1.0.0-r0.apk
8.0 KiB
quodlibet-4.7.1-r0.apk
1.0 MiB
quodlibet-bash-completion-4.7.1-r0.apk
4.2 KiB
quodlibet-doc-4.7.1-r0.apk
8.4 KiB
quodlibet-lang-4.7.1-r0.apk
1.4 MiB
quodlibet-pyc-4.7.1-r0.apk
1.8 MiB
quodlibet-zsh-completion-4.7.1-r0.apk
2.5 KiB
r2ghidra-6.0.8-r0.apk
12 MiB
raku-distribution-builder-makefromjson-0.6-r1.apk
41 KiB
raku-distribution-builder-makefromjson-doc-0.6-r1.apk
2.1 KiB
raku-inline-perl5-0.60_git20250327-r1.apk
2.1 MiB
raku-inline-perl5-doc-0.60_git20250327-r1.apk
6.0 KiB
raku-system-query-0.1.6-r1.apk
16 KiB
raku-system-query-doc-0.1.6-r1.apk
2.3 KiB
randrctl-1.10.0-r0.apk
28 KiB
randrctl-pyc-1.10.0-r0.apk
31 KiB
rankwidth-0.9-r4.apk
6.7 KiB
rankwidth-dev-0.9-r4.apk
2.7 KiB
rankwidth-doc-0.9-r4.apk
2.7 KiB
rankwidth-libs-0.9-r4.apk
5.5 KiB
rankwidth-static-0.9-r4.apk
4.9 KiB
raspberrypi-usbboot-20250227-r0.apk
887 KiB
rathole-0.5.0-r0.apk
1.4 MiB
rattler-build-0.18.0-r0.apk
6.1 MiB
rattler-build-bash-completion-0.18.0-r0.apk
3.4 KiB
rattler-build-doc-0.18.0-r0.apk
6.5 KiB
rattler-build-fish-completion-0.18.0-r0.apk
4.5 KiB
rattler-build-zsh-completion-0.18.0-r0.apk
5.2 KiB
rauc-1.10.1-r0.apk
144 KiB
rauc-doc-1.10.1-r0.apk
3.9 KiB
rauc-service-1.10.1-r0.apk
3.5 KiB
razercfg-0.42-r7.apk
88 KiB
razercfg-gui-0.42-r7.apk
19 KiB
razercfg-openrc-0.42-r7.apk
1.5 KiB
razercfg-pyc-0.42-r7.apk
35 KiB
rclone-browser-1.8.0-r1.apk
331 KiB
rdedup-3.2.1-r5.apk
861 KiB
rdrview-0.1.3-r0.apk
32 KiB
rdrview-doc-0.1.3-r0.apk
3.4 KiB
reaction-2.2.1-r0.apk
1.5 MiB
reaction-openrc-2.2.1-r0.apk
1.6 KiB
reaction-tools-2.2.1-r0.apk
6.6 KiB
readosm-1.1.0-r3.apk
15 KiB
readosm-dev-1.1.0-r3.apk
21 KiB
reap-0.2-r0.apk
5.2 KiB
reap-doc-0.2-r0.apk
2.4 KiB
reason-3.8.2-r2.apk
20 MiB
reason-rtop-3.8.2-r2.apk
24 MiB
reaver-wps-fork-t6x-1.6.6-r1.apk
449 KiB
recoll-1.43.9-r0.apk
3.1 MiB
recoll-dev-1.43.9-r0.apk
55 KiB
recoll-doc-1.43.9-r0.apk
33 KiB
redhat-fonts-4.1.0-r1.apk
809 KiB
redlib-0.36.0-r0.apk
2.9 MiB
refine-0.7.0-r0.apk
35 KiB
refine-lang-0.7.0-r0.apk
31 KiB
reg-0.16.1-r33.apk
4.5 MiB
regal-0.36.1-r1.apk
11 MiB
regal-bash-completion-0.36.1-r1.apk
5.8 KiB
regal-fish-completion-0.36.1-r1.apk
4.1 KiB
regal-zsh-completion-0.36.1-r1.apk
3.8 KiB
regclient-0.11.1-r0.apk
13 MiB
remake-1.5-r1.apk
142 KiB
remake-dev-1.5-r1.apk
2.7 KiB
remake-doc-1.5-r1.apk
201 KiB
remake-make-1.5-r1.apk
1.3 KiB
remco-0.12.5-r5.apk
9.4 MiB
remco-doc-0.12.5-r5.apk
2.0 KiB
remco-openrc-0.12.5-r5.apk
1.4 KiB
remind-caldav-0.8.0-r4.apk
17 KiB
remind-caldav-pyc-0.8.0-r4.apk
5.9 KiB
repgrep-0.15.0-r0.apk
1.2 MiB
repgrep-bash-completion-0.15.0-r0.apk
1.4 KiB
repgrep-doc-0.15.0-r0.apk
6.2 KiB
repgrep-fish-completion-0.15.0-r0.apk
3.9 KiB
repgrep-zsh-completion-0.15.0-r0.apk
1.4 KiB
repo-2.60-r0.apk
17 KiB
repo-doc-2.60-r0.apk
39 KiB
repowerd-2023.07-r3.apk
914 KiB
repowerd-openrc-2023.07-r3.apk
1.4 KiB
reprotest-0.7.32-r0.apk
80 KiB
reprotest-pyc-0.7.32-r0.apk
103 KiB
responder-3.1.7.0-r0.apk
747 KiB
restart-services-0.17.0-r0.apk
12 KiB
restart-services-doc-0.17.0-r0.apk
5.9 KiB
restic.mk-0.4.0-r0.apk
2.7 KiB
restinio-0.6.19-r1.apk
996 B
restinio-dev-0.6.19-r1.apk
268 KiB
rezolus-2.11.1-r3.apk
924 KiB
rezolus-doc-2.11.1-r3.apk
3.1 KiB
rezolus-openrc-2.11.1-r3.apk
1.8 KiB
rgxg-0.1.2-r2.apk
15 KiB
rgxg-dev-0.1.2-r2.apk
3.3 KiB
rgxg-doc-0.1.2-r2.apk
12 KiB
rhasspy-nlu-0.4.0-r3.apk
43 KiB
rhasspy-nlu-pyc-0.4.0-r3.apk
73 KiB
riemann-cli-0.8.0-r2.apk
549 KiB
rinetd-0.73-r0.apk
15 KiB
rinetd-doc-0.73-r0.apk
16 KiB
rinetd-openrc-0.73-r0.apk
1.5 KiB
rio-0.2.35-r0.apk
10 MiB
rio-doc-0.2.35-r0.apk
2.0 KiB
rio-terminfo-0.2.35-r0.apk
3.2 KiB
ripasso-cursive-0.7.0-r0.apk
3.2 MiB
river-luatile-0.1.4-r0.apk
268 KiB
river-shifttags-0.2.1-r1.apk
6.4 KiB
river-shifttags-doc-0.2.1-r1.apk
2.1 KiB
rizin-0.8.1-r0.apk
2.7 MiB
rizin-cutter-2.4.1-r1.apk
2.7 MiB
rizin-cutter-dev-2.4.1-r1.apk
103 KiB
rizin-dev-0.8.1-r0.apk
322 KiB
rizin-doc-0.8.1-r0.apk
19 KiB
rizin-libs-0.8.1-r0.apk
5.7 MiB
rke-1.4.3-r20.apk
19 MiB
rke-doc-1.4.3-r20.apk
2.7 KiB
rmlint-2.10.2-r2.apk
150 KiB
rmlint-doc-2.10.2-r2.apk
18 KiB
rmlint-lang-2.10.2-r2.apk
19 KiB
rmlint-shredder-2.10.2-r2.apk
96 KiB
rmlint-shredder-pyc-2.10.2-r2.apk
124 KiB
rmtfs-1.1.1-r1.apk
15 KiB
rmtfs-doc-1.1.1-r1.apk
2.1 KiB
rmtfs-openrc-1.1.1-r1.apk
1.9 KiB
rmtfs-systemd-1.1.1-r1.apk
1.6 KiB
rmtfs-udev-1.1.1-r1.apk
1.4 KiB
rocm-cmake-6.4.3-r0.apk
28 KiB
rocm-cmake-doc-6.4.3-r0.apk
2.2 KiB
rocm-core-6.4.3-r0.apk
7.8 KiB
rocm-core-dev-6.4.3-r0.apk
6.3 KiB
rocm-core-doc-6.4.3-r0.apk
2.2 KiB
rofi-json-menu-0.2.0-r1.apk
5.6 KiB
rofi-pass-2.0.2-r2.apk
8.8 KiB
rofi-pass-doc-2.0.2-r2.apk
5.0 KiB
roll-2.6.1-r1.apk
13 KiB
roll-bash-completion-2.6.1-r1.apk
1.6 KiB
roll-doc-2.6.1-r1.apk
11 KiB
ropgadget-7.7-r0.apk
26 KiB
ropgadget-pyc-7.7-r0.apk
48 KiB
rosdep-0.19.0-r6.apk
66 KiB
rosdep-pyc-0.19.0-r6.apk
119 KiB
rosenpass-0.2.2-r1.apk
1.0 MiB
rpg-cli-1.2.0-r0.apk
639 KiB
rpi-imager-1.9.0-r1.apk
703 KiB
rpi-imager-doc-1.9.0-r1.apk
2.8 KiB
rss-email-0.5.1-r0.apk
2.3 MiB
rss-email-doc-0.5.1-r0.apk
6.1 KiB
rsstail-2.2-r0.apk
9.3 KiB
rsstail-doc-2.2-r0.apk
2.5 KiB
rt5-5.0.8-r0.apk
17 MiB
rt6-6.0.1-r0.apk
12 MiB
rtl-power-fftw-20200601-r4.apk
62 KiB
rtl-power-fftw-doc-20200601-r4.apk
7.9 KiB
rtl8192eu-src-5.11.2.3_git20251220-r0.apk
2.6 MiB
rtl88x2bu-src-5.13.1_git20230711-r0.apk
4.0 MiB
rtmidi-6.0.0-r0.apk
31 KiB
rtmidi-dev-6.0.0-r0.apk
14 KiB
rtptools-1.22-r2.apk
40 KiB
rtptools-doc-1.22-r2.apk
12 KiB
rtw89-src-7_p20230725-r0.apk
758 KiB
ruby-ansi-1.5.0-r0.apk
26 KiB
ruby-appraisal-2.5.0-r0.apk
11 KiB
ruby-appraisal-doc-2.5.0-r0.apk
2.0 KiB
ruby-benchmark-ips-2.14.0-r0.apk
14 KiB
ruby-benchmark-ips-doc-2.14.0-r0.apk
2.0 KiB
ruby-build-20250925-r0.apk
93 KiB
ruby-build-doc-20250925-r0.apk
4.5 KiB
ruby-build-runtime-20250925-r0.apk
1.0 KiB
ruby-byebug-12.0.0-r0.apk
130 KiB
ruby-coderay-1.1.3-r0.apk
89 KiB
ruby-coderay-doc-1.1.3-r0.apk
2.0 KiB
ruby-crack-1.0.1-r0.apk
2.1 KiB
ruby-crack-doc-1.0.1-r0.apk
2.0 KiB
ruby-dry-inflector-1.3.0-r0.apk
8.0 KiB
ruby-dry-inflector-doc-1.3.0-r0.apk
2.0 KiB
ruby-event_emitter-0.2.6-r0.apk
2.8 KiB
ruby-event_emitter-doc-0.2.6-r0.apk
2.0 KiB
ruby-facter-4.10.0-r0.apk
218 KiB
ruby-fast_gettext-3.1.0-r0.apk
22 KiB
ruby-hashdiff-1.2.1-r0.apk
8.7 KiB
ruby-hashdiff-doc-1.2.1-r0.apk
2.0 KiB
ruby-hoe-4.5.1-r0.apk
28 KiB
ruby-libguestfs-1.56.1-r0.apk
109 KiB
ruby-mail-2.9.0-r0.apk
394 KiB
ruby-minitest-autotest-1.2.1-r0.apk
14 KiB
ruby-minitest-focus-1.4.1-r0.apk
6.1 KiB
ruby-minitest-power_assert-0.3.1-r0.apk
2.0 KiB
ruby-minitest-proveit-1.0.0-r0.apk
4.5 KiB
ruby-minitest-reporters-1.7.1-r0.apk
20 KiB
ruby-minitest-server-1.0.9-r0.apk
5.1 KiB
ruby-path_expander-2.0.1-r0.apk
6.4 KiB
ruby-ruby-progressbar-1.13.0-r0.apk
25 KiB
ruff-lsp-0.0.62-r0.apk
21 KiB
ruff-lsp-pyc-0.0.62-r0.apk
35 KiB
runst-0.2.0-r0.apk
2.0 MiB
runst-doc-0.2.0-r0.apk
7.6 KiB
ruri-3.9.3-r0.apk
111 KiB
ruri-doc-3.9.3-r0.apk
2.0 KiB
rust-script-0.36.0-r0.apk
932 KiB
rustic-0.9.3-r0.apk
6.2 MiB
rustic-bash-completion-0.9.3-r0.apk
8.4 KiB
rustic-fish-completion-0.9.3-r0.apk
16 KiB
rustic-zsh-completion-0.9.3-r0.apk
13 KiB
rustical-0.11.11-r0.apk
4.6 MiB
rustls-ffi-0.15.0-r0.apk
1.1 MiB
rustls-ffi-dev-0.15.0-r0.apk
2.4 MiB
rustscan-2.4.1-r0.apk
1.6 MiB
ruuvi-prometheus-0.1.9-r7.apk
3.2 MiB
ruuvi-prometheus-openrc-0.1.9-r7.apk
1.4 KiB
rvlprog-0.91-r2.apk
28 KiB
ry-0.5.2-r1.apk
4.4 KiB
ry-bash-completion-0.5.2-r1.apk
1.7 KiB
ry-zsh-completion-0.5.2-r1.apk
2.0 KiB
rygel-45.1-r0.apk
816 KiB
rygel-dev-45.1-r0.apk
42 KiB
rygel-doc-45.1-r0.apk
9.4 KiB
rygel-lang-45.1-r0.apk
591 KiB
rygel-systemd-45.1-r0.apk
1.5 KiB
s-dkim-sign-0.6.2-r0.apk
62 KiB
s-dkim-sign-doc-0.6.2-r0.apk
8.3 KiB
s-postgray-0.8.3-r0.apk
50 KiB
s-postgray-doc-0.8.3-r0.apk
9.3 KiB
s5cmd-2.3.0-r8.apk
4.8 MiB
saait-0.8-r0.apk
7.4 KiB
saait-doc-0.8-r0.apk
12 KiB
sacc-1.07-r1.apk
16 KiB
sacc-doc-1.07-r1.apk
2.6 KiB
sandbar-0.2_git20250909-r0.apk
15 KiB
satellite-1.0.0-r33.apk
2.2 MiB
satellite-doc-1.0.0-r33.apk
2.8 KiB
satellite-openrc-1.0.0-r33.apk
1.6 KiB
sauerbraten-2020.12.29-r4.apk
934 MiB
sbase-0_git20210730-r3.apk
126 KiB
sbase-doc-0_git20210730-r3.apk
58 KiB
sblg-0.5.11-r0.apk
40 KiB
sblg-doc-0.5.11-r0.apk
1.3 MiB
sblim-sfcc-2.2.8-r3.apk
57 KiB
sblim-sfcc-dev-2.2.8-r3.apk
22 KiB
sblim-sfcc-doc-2.2.8-r3.apk
34 KiB
sblim-wbemcli-1.6.3-r1.apk
100 KiB
sblim-wbemcli-doc-1.6.3-r1.apk
4.3 KiB
sc-controller-0.5.5-r0.apk
1.3 MiB
sc-controller-pyc-0.5.5-r0.apk
814 KiB
sc-controller-udev-0.5.5-r0.apk
1.8 KiB
scalingo-1.30.0-r15.apk
5.2 MiB
scap-workbench-1.2.1-r3.apk
235 KiB
scap-workbench-doc-1.2.1-r3.apk
1.6 MiB
scenefx-0.4.1-r0.apk
59 KiB
scenefx-dev-0.4.1-r0.apk
11 KiB
scenefx-doc-0.4.1-r0.apk
2.0 KiB
schismtracker-20251014-r0.apk
465 KiB
schismtracker-doc-20251014-r0.apk
6.1 KiB
scooper-1.3-r1.apk
530 KiB
scooper-doc-1.3-r1.apk
2.3 KiB
screenkey-1.5-r7.apk
76 KiB
screenkey-doc-1.5-r7.apk
11 KiB
screenkey-pyc-1.5-r7.apk
73 KiB
sct-2018.12.18-r1.apk
4.2 KiB
sdl3_image-3.2.6-r0.apk
80 KiB
sdl3_image-dev-3.2.6-r0.apk
12 KiB
sdl3_image-doc-3.2.6-r0.apk
1.9 KiB
sdparm-1.12-r1.apk
148 KiB
sdparm-doc-1.12-r1.apk
19 KiB
secsipidx-1.3.2-r17.apk
2.7 MiB
secsipidx-dev-1.3.2-r17.apk
5.4 MiB
secsipidx-libs-1.3.2-r17.apk
2.4 MiB
sedutil-1.15.1-r1.apk
184 KiB
sedutil-doc-1.15.1-r1.apk
2.8 KiB
semaphoreui-2.16.37-r1.apk
16 MiB
semaphoreui-bash-completion-2.16.37-r1.apk
5.8 KiB
semaphoreui-doc-2.16.37-r1.apk
2.1 KiB
semaphoreui-fish-completion-2.16.37-r1.apk
4.1 KiB
semaphoreui-openrc-2.16.37-r1.apk
1.8 KiB
semaphoreui-zsh-completion-2.16.37-r1.apk
3.8 KiB
sentinel-proxy-2.1.0-r1.apk
45 KiB
sentinel-proxy-dev-2.1.0-r1.apk
4.3 KiB
sentinel-proxy-openrc-2.1.0-r1.apk
2.0 KiB
serialdv-1.1.5-r0.apk
6.7 KiB
serialdv-dev-1.1.5-r0.apk
5.1 KiB
serialdv-libs-1.1.5-r0.apk
73 KiB
serie-0.5.5-r0.apk
919 KiB
serie-doc-0.5.5-r0.apk
7.9 KiB
setroot-2.0.2-r1.apk
12 KiB
setroot-doc-2.0.2-r1.apk
4.2 KiB
sflowtool-6.02-r0.apk
41 KiB
sflowtool-doc-6.02-r0.apk
9.1 KiB
sfwbar-1.0_beta161-r0.apk
300 KiB
sfwbar-doc-1.0_beta161-r0.apk
26 KiB
sgt-puzzles-0_git20230310-r2.apk
2.8 MiB
shadowsocks-libev-3.3.5-r4.apk
219 KiB
shadowsocks-libev-dev-3.3.5-r4.apk
3.2 KiB
shadowsocks-libev-doc-3.3.5-r4.apk
28 KiB
shc-4.0.3-r2.apk
17 KiB
shellinabox-2.21-r3.apk
119 KiB
shellinabox-doc-2.21-r3.apk
19 KiB
shellinabox-openrc-2.21-r3.apk
3.3 KiB
shine-3.1.1-r0.apk
66 KiB
shipments-0.3.0-r0.apk
24 KiB
shntool-3.0.10-r5.apk
59 KiB
shntool-doc-3.0.10-r5.apk
10 KiB
shutdown-clear-machine-id-1.0.0-r0.apk
1.5 KiB
sigma-0.23.1-r1.apk
236 KiB
sigma-pyc-0.23.1-r1.apk
340 KiB
sigrok-cli-0.7.2-r0.apk
40 KiB
sigrok-cli-doc-0.7.2-r0.apk
8.0 KiB
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
13 KiB
silc-client-1.1.11-r18.apk
918 KiB
silc-client-doc-1.1.11-r18.apk
82 KiB
simavr-1.7-r1.apk
104 KiB
simavr-dev-1.7-r1.apk
807 KiB
simgear-2024.1.1-r0.apk
2.3 MiB
simgear-dev-2024.1.1-r0.apk
404 KiB
simh-3.11.1-r1.apk
3.6 MiB
simp1e-cursors-0_git20250312-r0.apk
446 KiB
simp1e-cursors-adw-0_git20250312-r0.apk
478 KiB
simp1e-cursors-adw-dark-0_git20250312-r0.apk
482 KiB
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
485 KiB
simp1e-cursors-adw-left-0_git20250312-r0.apk
483 KiB
simp1e-cursors-breeze-0_git20250312-r0.apk
504 KiB
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
520 KiB
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
526 KiB
simp1e-cursors-breeze-left-0_git20250312-r0.apk
509 KiB
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
535 KiB
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
547 KiB
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
527 KiB
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
537 KiB
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
532 KiB
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
544 KiB
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
530 KiB
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
540 KiB
simp1e-cursors-dark-0_git20250312-r0.apk
484 KiB
simp1e-cursors-dark-left-0_git20250312-r0.apk
489 KiB
simp1e-cursors-doc-0_git20250312-r0.apk
14 KiB
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
525 KiB
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
537 KiB
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
517 KiB
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
526 KiB
simp1e-cursors-left-0_git20250312-r0.apk
448 KiB
simp1e-cursors-mix-dark-0_git20250312-r0.apk
486 KiB
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
492 KiB
simp1e-cursors-mix-light-0_git20250312-r0.apk
449 KiB
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
451 KiB
simp1e-cursors-nord-dark-0_git20250312-r0.apk
535 KiB
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
548 KiB
simp1e-cursors-nord-light-0_git20250312-r0.apk
518 KiB
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
524 KiB
simp1e-cursors-rose-pine-0_git20250312-r0.apk
533 KiB
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
538 KiB
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
550 KiB
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
545 KiB
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
538 KiB
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
550 KiB
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
523 KiB
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
535 KiB
simp1e-cursors-solarized-light-0_git20250312-r0.apk
531 KiB
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
542 KiB
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
530 KiB
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
541 KiB
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
520 KiB
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
527 KiB
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
534 KiB
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
545 KiB
simp1e-cursors-zenburn-0_git20250312-r0.apk
529 KiB
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
540 KiB
simpleble-0.10.3-r0.apk
994 B
simpleble-dev-0.10.3-r0.apk
28 KiB
singular-4.4.1_p2-r1.apk
12 MiB
singular-dev-4.4.1_p2-r1.apk
359 KiB
singular-doc-4.4.1_p2-r1.apk
1.3 MiB
singular-emacs-4.4.1_p2-r1.apk
101 KiB
singular-static-4.4.1_p2-r1.apk
7.1 MiB
sipexer-1.2.0-r8.apk
2.7 MiB
sipgrep-2.2.0-r1.apk
26 KiB
sish-2.16.1-r10.apk
7.8 MiB
sish-openrc-2.16.1-r10.apk
1.6 KiB
sloccount-2.26-r3.apk
72 KiB
sloccount-doc-2.26-r3.apk
59 KiB
slurm-0.4.4-r0.apk
15 KiB
slurm-doc-0.4.4-r0.apk
2.3 KiB
smassh-3.1.6-r0.apk
72 KiB
smassh-pyc-3.1.6-r0.apk
70 KiB
smb4k-4.0.5-r0.apk
1.8 MiB
smb4k-doc-4.0.5-r0.apk
4.6 MiB
smile-2.11.0-r0.apk
873 KiB
smile-lang-2.11.0-r0.apk
28 KiB
smplxmpp-0.9.3-r5.apk
156 KiB
smplxmpp-doc-0.9.3-r5.apk
25 KiB
snapper-0.13.0-r0.apk
1.1 MiB
snapper-bash-completion-0.13.0-r0.apk
3.1 KiB
snapper-dev-0.13.0-r0.apk
10 KiB
snapper-doc-0.13.0-r0.apk
25 KiB
snapper-lang-0.13.0-r0.apk
219 KiB
snapper-zsh-completion-0.13.0-r0.apk
3.3 KiB
snapraid-13.0-r0.apk
280 KiB
snapraid-doc-13.0-r0.apk
19 KiB
snippets-ls-0.0.4_git20240617-r10.apk
1.3 MiB
snore-0.3.1-r0.apk
4.6 KiB
snore-doc-0.3.1-r0.apk
2.8 KiB
so-0.4.10-r0.apk
2.1 MiB
soapy-bladerf-0.4.2-r0.apk
49 KiB
solanum-6.0.0-r0.apk
276 KiB
solanum-lang-6.0.0-r0.apk
47 KiB
somebar-1.0.3-r0.apk
49 KiB
somebar-doc-1.0.3-r0.apk
2.2 KiB
sonicradio-0.8.12-r0.apk
4.2 MiB
sopwith-2.5.0-r0.apk
51 KiB
sopwith-doc-2.5.0-r0.apk
15 KiB
sos-0.8-r36.apk
2.7 MiB
soundconverter-4.1.1-r0.apk
164 KiB
soundconverter-doc-4.1.1-r0.apk
4.5 KiB
soundconverter-lang-4.1.1-r0.apk
107 KiB
soundconverter-pyc-4.1.1-r0.apk
76 KiB
spacectl-1.12.0-r6.apk
5.5 MiB
spacectl-bash-completion-1.12.0-r6.apk
1.8 KiB
spacectl-doc-1.12.0-r6.apk
2.0 KiB
spacectl-fish-completion-1.12.0-r6.apk
6.8 KiB
spacectl-zsh-completion-1.12.0-r6.apk
1.6 KiB
spacenavd-1.3.1-r0.apk
33 KiB
spark-2.8.3-r1.apk
29 MiB
speedcrunch-0.12-r3.apk
1.2 MiB
speedtest-5.2.5-r1.apk
253 KiB
speedtest-doc-5.2.5-r1.apk
18 KiB
speedtest-examples-5.2.5-r1.apk
13 KiB
speedtest-go-1.1.5-r20.apk
5.3 MiB
speedtest-go-doc-1.1.5-r20.apk
4.2 KiB
speedtest-go-openrc-1.1.5-r20.apk
1.5 KiB
speedtest_exporter-0.3.2-r20.apk
3.8 MiB
speedtest_exporter-openrc-0.3.2-r20.apk
1.6 KiB
spice-html5-0.3.0-r1.apk
438 KiB
spike-1.1.0-r0.apk
1.5 MiB
spiped-1.6.4-r0.apk
76 KiB
spnavcfg-1.3-r0.apk
38 KiB
spotify-player-0.20.4-r0.apk
4.5 MiB
spotify-tui-0.25.0-r2.apk
1.9 MiB
spread-sheet-widget-0.10-r0.apk
48 KiB
spread-sheet-widget-dbg-0.10-r0.apk
191 KiB
spread-sheet-widget-dev-0.10-r0.apk
358 KiB
spread-sheet-widget-doc-0.10-r0.apk
4.4 KiB
spreadtrum_flash-1.20240815-r0.apk
43 KiB
spvm-errno-0.093-r1.apk
18 KiB
spvm-errno-doc-0.093-r1.apk
5.7 KiB
spvm-math-1.006-r1.apk
21 KiB
spvm-math-doc-1.006-r1.apk
6.7 KiB
spvm-mime-base64-1.003-r1.apk
18 KiB
spvm-mime-base64-doc-1.003-r1.apk
5.2 KiB
spvm-thread-0.003-r1.apk
15 KiB
spvm-thread-doc-0.003-r1.apk
5.7 KiB
sq-0.48.10-r0.apk
10 MiB
sq-bash-completion-0.48.10-r0.apk
5.8 KiB
sq-doc-0.48.10-r0.apk
10 KiB
sq-fish-completion-0.48.10-r0.apk
4.0 KiB
sq-zsh-completion-0.48.10-r0.apk
3.8 KiB
sqlar-0_git20180107-r1.apk
14 KiB
sqlar-doc-0_git20180107-r1.apk
3.0 KiB
sqliteodbc-0.99991-r0.apk
93 KiB
sqlmap-1.9.12-r0.apk
6.7 MiB
sqlmap-pyc-1.9.12-r0.apk
1.2 MiB
sqm-scripts-1.6.0-r0.apk
20 KiB
sqruff-0.25.26-r0.apk
2.3 MiB
sqruff-doc-0.25.26-r0.apk
8.4 KiB
squeak-vm-4.10.2.2614-r2.apk
644 KiB
squeak-vm-doc-4.10.2.2614-r2.apk
12 KiB
srain-1.8.1-r0.apk
163 KiB
srain-lang-1.8.1-r0.apk
35 KiB
srb2-2.2.15-r2.apk
1.9 MiB
srb2-data-2.2.15-r2.apk
160 MiB
sregex-0.0.1-r1.apk
25 KiB
sregex-dev-0.0.1-r1.apk
29 KiB
ssdfs-tools-4.09-r0.apk
97 KiB
ssdfs-tools-dev-4.09-r0.apk
18 KiB
ssh-get-id-0.2.0-r0.apk
2.3 MiB
ssh-get-id-doc-0.2.0-r0.apk
2.0 KiB
ssh-honeypot-0.1.1-r1.apk
8.8 KiB
ssh-honeypot-openrc-0.1.1-r1.apk
1.8 KiB
ssh-studio-1.3.1-r0.apk
202 KiB
ssh-studio-lang-1.3.1-r0.apk
1.6 KiB
ssh-studio-pyc-1.3.1-r0.apk
106 KiB
ssh-tools-1.8-r0.apk
25 KiB
sshm-1.10.0-r0.apk
3.8 MiB
sshm-doc-1.10.0-r0.apk
2.0 KiB
sshs-4.7.2-r0.apk
787 KiB
sshsrv-1.0-r17.apk
1009 KiB
sshuttle-1.1.2-r0.apk
62 KiB
sshuttle-doc-1.1.2-r0.apk
8.2 KiB
sshuttle-pyc-1.1.2-r0.apk
100 KiB
sssd-2.11.1-r2.apk
2.1 MiB
sssd-dev-2.11.1-r2.apk
14 KiB
sssd-openrc-2.11.1-r2.apk
1.4 KiB
ssss-0.5.7-r0.apk
14 KiB
ssss-doc-0.5.7-r0.apk
3.3 KiB
sstp-client-1.0.20-r3.apk
42 KiB
sstp-client-dev-1.0.20-r3.apk
5.2 KiB
sstp-client-doc-1.0.20-r3.apk
4.6 KiB
stacker-1.1.3-r1.apk
23 MiB
stacker-doc-1.1.3-r1.apk
15 KiB
stalwart-cli-0.15.3-r2.apk
2.6 MiB
stalwart-mail-0.15.3-r2.apk
20 MiB
stalwart-mail-openrc-0.15.3-r2.apk
1.8 KiB
stam-0.12.2-r0.apk
1.7 MiB
starfighter-2.4-r0.apk
48 MiB
starfighter-doc-2.4-r0.apk
21 KiB
startup-2.0.3-r5.apk
403 KiB
startup-bridge-dconf-2.0.3-r5.apk
29 KiB
startup-bridge-udev-2.0.3-r5.apk
29 KiB
startup-dev-2.0.3-r5.apk
5.6 KiB
startup-doc-2.0.3-r5.apk
47 KiB
startup-fish-completion-2.0.3-r5.apk
5.1 KiB
startup-lang-2.0.3-r5.apk
16 KiB
startup-tools-2.0.3-r5.apk
13 KiB
stayrtr-0.6.3-r1.apk
10 MiB
stayrtr-openrc-0.6.3-r1.apk
1.7 KiB
steghide-0.5.1.1-r0.apk
157 KiB
steghide-doc-0.5.1.1-r0.apk
14 KiB
stern-1.33.0-r1.apk
18 MiB
stern-bash-completion-1.33.0-r1.apk
5.6 KiB
stern-fish-completion-1.33.0-r1.apk
4.1 KiB
stern-zsh-completion-1.33.0-r1.apk
3.8 KiB
stgit-2.4.7-r1.apk
2.0 MiB
stgit-bash-completion-2.4.7-r1.apk
17 KiB
stgit-doc-2.4.7-r1.apk
126 KiB
stgit-emacs-2.4.7-r1.apk
27 KiB
stgit-fish-completion-2.4.7-r1.apk
11 KiB
stgit-vim-2.4.7-r1.apk
3.2 KiB
stgit-zsh-completion-2.4.7-r1.apk
23 KiB
sthttpd-2.27.1-r2.apk
64 KiB
sthttpd-doc-2.27.1-r2.apk
18 KiB
sthttpd-openrc-2.27.1-r2.apk
1.7 KiB
stockfish-17-r0.apk
62 MiB
stone-soup-0.33.1-r0.apk
31 MiB
stw-0.3-r0.apk
8.0 KiB
stw-doc-0.3-r0.apk
2.3 KiB
subliminal-2.4.0-r0.apk
90 KiB
subliminal-pyc-2.4.0-r0.apk
179 KiB
sudo-ldap-1.9.17_p1-r0.apk
745 KiB
supermin-5.2.2-r2.apk
536 KiB
supermin-doc-5.2.2-r2.apk
9.1 KiB
supersonik-0.1.0-r3.apk
1.1 MiB
surf-2.1-r3.apk
23 KiB
surf-doc-2.1-r3.apk
4.4 KiB
surfraw-2.3.0-r0.apk
78 KiB
surfraw-doc-2.3.0-r0.apk
17 KiB
suru-icon-theme-2025.05.0-r0.apk
2.9 MiB
svgbob-0.7.6-r0.apk
499 KiB
svls-0.2.14-r0.apk
3.7 MiB
svls-doc-0.2.14-r0.apk
2.0 KiB
swaks-20240103.0-r0.apk
66 KiB
swaks-doc-20240103.0-r0.apk
49 KiB
swappy-1.7.1-r0.apk
30 KiB
swappy-doc-1.7.1-r0.apk
3.6 KiB
swappy-lang-1.7.1-r0.apk
3.5 KiB
sway-audio-idle-inhibit-0.1.2-r0.apk
10 KiB
swayhide-0.2.1-r2.apk
279 KiB
swhkd-1.2.1-r0.apk
1.1 MiB
swhkd-doc-1.2.1-r0.apk
5.9 KiB
swi-prolog-9.2.9-r0.apk
5.1 MiB
swi-prolog-doc-9.2.9-r0.apk
2.1 MiB
swi-prolog-pyc-9.2.9-r0.apk
22 KiB
swi-prolog-xpce-9.2.9-r0.apk
922 KiB
swi-prolog-xpce-doc-9.2.9-r0.apk
1.0 MiB
sxcs-1.1.0-r0.apk
9.2 KiB
sxcs-doc-1.1.0-r0.apk
2.4 KiB
syd-tui-0.2.2-r0.apk
428 KiB
sydbox-3.45.2-r0.apk
5.0 MiB
sydbox-doc-3.45.2-r0.apk
165 KiB
sydbox-oci-3.45.2-r0.apk
3.0 MiB
sydbox-syd-3.45.2-r0.apk
2.0 MiB
sydbox-test-3.45.2-r0.apk
1.8 MiB
sydbox-utils-3.45.2-r0.apk
6.4 MiB
sydbox-vim-3.45.2-r0.apk
7.0 KiB
sylpheed-imap-notify-1.1.0-r2.apk
8.6 KiB
symbiyosys-0.36-r0.apk
37 KiB
symengine-0.12.0-r0.apk
3.3 MiB
symlinks-1.4.3-r0.apk
6.3 KiB
symlinks-doc-1.4.3-r0.apk
3.6 KiB
synapse-bt-1.0-r4.apk
1.1 MiB
synapse-bt-cli-1.0-r4.apk
1.0 MiB
synapse-bt-openrc-1.0-r4.apk
1.6 KiB
syncthing-gtk-0.9.4.5-r2.apk
440 KiB
syncthing-gtk-doc-0.9.4.5-r2.apk
1.9 KiB
syncthing-gtk-pyc-0.9.4.5-r2.apk
220 KiB
syncwhen-0.3-r0.apk
5.0 KiB
syncwhen-systemd-0.3-r0.apk
1.5 KiB
t2sz-1.1.2-r0.apk
9.1 KiB
tabiew-0.11.0-r0.apk
14 MiB
tachyon-0.99_beta6-r2.apk
120 KiB
tachyon-scenes-0.99_beta6-r2.apk
1.9 MiB
tailspin-5.5.0-r0.apk
1.2 MiB
tailspin-bash-completion-5.5.0-r0.apk
2.0 KiB
tailspin-doc-5.5.0-r0.apk
2.7 KiB
tailspin-fish-completion-5.5.0-r0.apk
1.9 KiB
tailspin-zsh-completion-5.5.0-r0.apk
2.2 KiB
tang-15-r0.apk
16 KiB
tang-dbg-15-r0.apk
30 KiB
tang-doc-15-r0.apk
20 KiB
tang-openrc-15-r0.apk
1.6 KiB
tangctl-0_git20241007-r9.apk
2.5 MiB
tanidvr-1.4.1-r2.apk
22 KiB
tanidvr-dhav2mkv-1.4.1-r2.apk
11 KiB
tanka-0.35.0-r1.apk
6.9 MiB
tartube-2.5.0-r2.apk
2.7 MiB
tartube-pyc-2.5.0-r2.apk
1.1 MiB
taskcafe-0.3.6-r18.apk
13 MiB
taskcafe-openrc-0.3.6-r18.apk
1.6 KiB
taskwarrior-tui-0.26.3-r0.apk
1.2 MiB
taskwarrior-tui-bash-completion-0.26.3-r0.apk
1.7 KiB
taskwarrior-tui-doc-0.26.3-r0.apk
3.7 KiB
taskwarrior-tui-fish-completion-0.26.3-r0.apk
1.5 KiB
tayga-0.9.6-r0.apk
29 KiB
tayga-doc-0.9.6-r0.apk
5.7 KiB
tcl-curl-7.22.0-r0.apk
32 KiB
tcl-curl-doc-7.22.0-r0.apk
37 KiB
tcmu-runner-1.6.0-r6.apk
90 KiB
tcmu-runner-doc-1.6.0-r6.apk
2.3 KiB
tcmu-runner-rbd-1.6.0-r6.apk
13 KiB
tcpbench-3.00-r1.apk
13 KiB
tcpbench-doc-3.00-r1.apk
5.0 KiB
tdrop-0.5.0-r0.apk
12 KiB
tdrop-doc-0.5.0-r0.apk
8.9 KiB
tealdeer-1.8.0-r0.apk
865 KiB
tealdeer-bash-completion-1.8.0-r0.apk
1.8 KiB
tealdeer-fish-completion-1.8.0-r0.apk
2.0 KiB
tealdeer-zsh-completion-1.8.0-r0.apk
2.1 KiB
teapot-tools-0.4.2-r2.apk
2.1 MiB
telegram-bot-api-9.1-r0.apk
7.8 MiB
telegram-tdlib-1.8.51-r0.apk
7.8 MiB
telegram-tdlib-dev-1.8.51-r0.apk
188 KiB
telegram-tdlib-static-1.8.51-r0.apk
21 MiB
templ-0.3.960-r1.apk
5.2 MiB
tempo-2.9.0-r1.apk
30 MiB
tempo-cli-2.9.0-r1.apk
21 MiB
tempo-openrc-2.9.0-r1.apk
1.6 KiB
tempo-query-2.9.0-r1.apk
6.4 MiB
tempo-vulture-2.9.0-r1.apk
14 MiB
tempo-vulture-openrc-2.9.0-r1.apk
1.7 KiB
tenv-4.9.0-r1.apk
9.3 MiB
tenv-bash-completion-4.9.0-r1.apk
5.8 KiB
tenv-fish-completion-4.9.0-r1.apk
4.1 KiB
tenv-zsh-completion-4.9.0-r1.apk
3.8 KiB
tere-1.6.0-r0.apk
1.0 MiB
tere-doc-1.6.0-r0.apk
14 KiB
termbox-1.1.2-r1.apk
12 KiB
termbox-dev-1.1.2-r1.apk
5.5 KiB
termbox-static-1.1.2-r1.apk
14 KiB
termcolor-2.1.0-r0.apk
1.2 KiB
termcolor-dev-2.1.0-r0.apk
6.6 KiB
terminalpp-0.8.4-r0.apk
440 KiB
terminalpp-ropen-0.8.4-r0.apk
59 KiB
terminology-1.14.0-r0.apk
2.7 MiB
terminology-doc-1.14.0-r0.apk
8.7 KiB
terminology-lang-1.14.0-r0.apk
143 KiB
termusic-mpv-0.12.0-r0.apk
10 MiB
texlab-5.25.0-r0.apk
8.8 MiB
tfupdate-0.8.2-r11.apk
4.7 MiB
tfupdate-doc-0.8.2-r11.apk
2.0 KiB
theforceengine-1.09.540-r2.apk
7.0 MiB
theforceengine-doc-1.09.540-r2.apk
6.3 MiB
thefuck-3.32-r5.apk
83 KiB
thefuck-pyc-3.32-r5.apk
156 KiB
thelounge-4.4.3-r0.apk
28 MiB
thelounge-doc-4.4.3-r0.apk
2.0 KiB
thelounge-openrc-4.4.3-r0.apk
1.8 KiB
theme.sh-1.1.5-r0.apk
39 KiB
theme.sh-doc-1.1.5-r0.apk
2.1 KiB
throttled-0.10.0-r1.apk
14 KiB
throttled-openrc-0.10.0-r1.apk
1.3 KiB
throttled-pyc-0.10.0-r1.apk
28 KiB
thumbdrives-0.3.2-r2.apk
11 KiB
thunar-gtkhash-plugin-1.5-r0.apk
23 KiB
thunarx-python-0.5.2-r2.apk
10 KiB
thunarx-python-doc-0.5.2-r2.apk
25 KiB
tick-1.2.3-r0.apk
11 KiB
tick-doc-1.2.3-r0.apk
5.3 KiB
ticker-5.0.7-r7.apk
3.4 MiB
ticker-bash-completion-5.0.7-r7.apk
5.9 KiB
ticker-fish-completion-5.0.7-r7.apk
4.1 KiB
ticker-zsh-completion-5.0.7-r7.apk
3.8 KiB
timeshift-25.12.4-r0.apk
472 KiB
timeshift-doc-25.12.4-r0.apk
2.9 KiB
timeshift-lang-25.12.4-r0.apk
1.0 MiB
timew-1.4.3-r1.apk
268 KiB
timew-bash-completion-1.4.3-r1.apk
2.5 KiB
timew-doc-1.4.3-r1.apk
53 KiB
timewarrior-1.7.1-r0.apk
277 KiB
timewarrior-doc-1.7.1-r0.apk
22 KiB
timoni-0.23.0-r10.apk
22 MiB
timoni-bash-completion-0.23.0-r10.apk
7.7 KiB
timoni-doc-0.23.0-r10.apk
338 KiB
timoni-fish-completion-0.23.0-r10.apk
4.1 KiB
timoni-zsh-completion-0.23.0-r10.apk
3.8 KiB
tintin-2.02.51-r0.apk
1.8 MiB
tinyemu-2019.12.21-r0.apk
180 KiB
tinygltf-2.9.7-r0.apk
144 KiB
tinygltf-dev-2.9.7-r0.apk
57 KiB
tinymist-0.14.2-r0.apk
21 MiB
tinyscheme-1.42-r1.apk
67 KiB
tldr-python-client-3.3.0-r0.apk
12 KiB
tldr-python-client-doc-3.3.0-r0.apk
3.2 KiB
tldr-python-client-pyc-3.3.0-r0.apk
14 KiB
tmate-2.4.0-r4.apk
310 KiB
tmate-doc-2.4.0-r4.apk
72 KiB
tmpl-0.4.0-r16.apk
2.6 MiB
tmpl-doc-0.4.0-r16.apk
2.0 KiB
tmpmail-1.2.3-r2.apk
6.8 KiB
tmpmail-doc-1.2.3-r2.apk
3.0 KiB
tmux-resurrect-4.0.0-r0.apk
14 KiB
tmux-resurrect-doc-4.0.0-r0.apk
8.4 KiB
tncattach-0.1.9-r1.apk
24 KiB
tncattach-doc-0.1.9-r1.apk
3.6 KiB
tnef-1.4.18-r0.apk
26 KiB
tnef-doc-1.4.18-r0.apk
4.0 KiB
toapk-1.0-r0.apk
11 KiB
today-6.2.1-r0.apk
2.9 KiB
today-doc-6.2.1-r0.apk
3.0 KiB
tomcat9-9.0.112-r0.apk
6.8 MiB
tomcat9-admin-9.0.112-r0.apk
127 KiB
tomcat9-doc-9.0.112-r0.apk
1.7 MiB
tomcat9-examples-9.0.112-r0.apk
438 KiB
tomcat9-openrc-9.0.112-r0.apk
4.3 KiB
toml2json-1.3.2-r0.apk
377 KiB
toml2json-doc-1.3.2-r0.apk
3.4 KiB
tonutils-reverse-proxy-0.4.6-r4.apk
4.2 MiB
tonutils-reverse-proxy-doc-0.4.6-r4.apk
3.0 KiB
topgit-0.19.13-r1.apk
126 KiB
topgit-bash-completion-0.19.13-r1.apk
3.8 KiB
topgit-doc-0.19.13-r1.apk
73 KiB
torrent-file-editor-0.3.18-r0.apk
370 KiB
toss-1.1-r1.apk
14 KiB
touchpad-emulator-0.3-r0.apk
13 KiB
toybox-0.8.13-r0.apk
254 KiB
tpm2-pkcs11-1.9.2-r0.apk
130 KiB
tpm2-pkcs11-dev-1.9.2-r0.apk
1.6 KiB
tpm2-pkcs11-pyc-1.9.2-r0.apk
70 KiB
tpp-bypass-0.8.4-r0.apk
14 KiB
tqm-1.18.0-r0.apk
4.4 MiB
trace-cmd-3.3.1-r1.apk
172 KiB
trace-cmd-bash-completion-3.3.1-r1.apk
3.1 KiB
trace-cmd-dbg-3.3.1-r1.apk
470 KiB
trace-cmd-doc-3.3.1-r1.apk
171 KiB
transito-0.10.0-r0.apk
8.3 MiB
transito-doc-0.10.0-r0.apk
756 KiB
transmission-remote-gtk-1.6.0-r0.apk
153 KiB
transmission-remote-gtk-doc-1.6.0-r0.apk
4.0 KiB
transmission-remote-gtk-lang-1.6.0-r0.apk
106 KiB
trantor-1.5.18-r0.apk
245 KiB
trantor-dev-1.5.18-r0.apk
34 KiB
trantor-doc-1.5.18-r0.apk
2.4 KiB
tre-0.8.0-r2.apk
28 KiB
tre-dev-0.8.0-r2.apk
4.9 KiB
tre-static-0.8.0-r2.apk
31 KiB
tree-sitter-caddy-0_git20230322-r0.apk
73 KiB
tree-sitter-caddy-doc-0_git20230322-r0.apk
2.0 KiB
tree-sitter-clojure-0.0.13-r0.apk
23 KiB
tree-sitter-dart-0_git20250228-r0.apk
100 KiB
tree-sitter-git-commit-0_git20211225-r4.apk
13 KiB
tree-sitter-git-diff-0_git20230730-r1.apk
10 KiB
tree-sitter-git-rebase-0_git20240722-r0.apk
5.3 KiB
tree-sitter-gleam-1.1.0-r0.apk
64 KiB
tree-sitter-hare-0_git20230616-r2.apk
33 KiB
tree-sitter-haskell-0.23.1-r0.apk
285 KiB
tree-sitter-hcl-1.2.0-r0.apk
22 KiB
tree-sitter-just-0_git20230318-r0.apk
15 KiB
tree-sitter-make-1.1.1-r0.apk
39 KiB
tree-sitter-pascal-0.9.1-r0.apk
82 KiB
tree-sitter-pascal-doc-0.9.1-r0.apk
2.0 KiB
tree-sitter-typst-0.11.0-r1.apk
110 KiB
tremc-0.9.4-r0.apk
52 KiB
tremc-bash-completion-0.9.4-r0.apk
1.6 KiB
tremc-doc-0.9.4-r0.apk
2.6 KiB
tremc-zsh-completion-0.9.4-r0.apk
1.6 KiB
trigger-rally-0.6.7-r3.apk
312 KiB
trigger-rally-data-0.6.7-r3.apk
352 MiB
trigger-rally-doc-0.6.7-r3.apk
28 KiB
trippy-0.13.0-r0.apk
2.2 MiB
trippy-bash-completion-0.13.0-r0.apk
2.9 KiB
trippy-zsh-completion-0.13.0-r0.apk
4.6 KiB
trivy-0.68.2-r0.apk
63 MiB
tsung-1.8.0-r3.apk
722 KiB
ttfautohint-1.8.4-r0.apk
28 KiB
ttfautohint-dev-1.8.4-r0.apk
157 KiB
ttfautohint-doc-1.8.4-r0.apk
7.8 KiB
ttfautohint-gui-1.8.4-r0.apk
59 KiB
ttfautohint-libs-1.8.4-r0.apk
103 KiB
tty-clock-2.3_git20240104-r0.apk
8.4 KiB
tty-clock-doc-2.3_git20240104-r0.apk
2.9 KiB
tty-proxy-0.0.2-r33.apk
2.5 MiB
tty-share-2.4.0-r23.apk
3.7 MiB
ttyper-1.6.0-r0.apk
644 KiB
tuckr-0.12.0-r0.apk
529 KiB
tui-journal-0.10.0-r0.apk
2.0 MiB
tui-journal-doc-0.10.0-r0.apk
6.6 KiB
tup-0.7.11-r1.apk
242 KiB
tup-doc-0.7.11-r1.apk
21 KiB
tup-vim-0.7.11-r1.apk
2.3 KiB
tuptime-5.2.4-r2.apk
14 KiB
tuptime-doc-5.2.4-r2.apk
3.5 KiB
tuptime-openrc-5.2.4-r2.apk
1.5 KiB
turn-rs-3.4.0-r1.apk
622 KiB
turn-rs-doc-3.4.0-r1.apk
11 KiB
turn-rs-openrc-3.4.0-r1.apk
1.7 KiB
turnstile-0.1.10-r3.apk
39 KiB
turnstile-doc-0.1.10-r3.apk
5.4 KiB
turnstile-openrc-0.1.10-r3.apk
1.5 KiB
turntable-0.5.1-r0.apk
251 KiB
turntable-lang-0.5.1-r0.apk
27 KiB
tuwunel-1.4.9.1-r0.apk
14 MiB
tuwunel-openrc-1.4.9.1-r0.apk
1.7 KiB
twemproxy-0.5.0-r0.apk
71 KiB
twemproxy-doc-0.5.0-r0.apk
17 KiB
twiggy-0.6.0-r3.apk
824 KiB
twinkle-1.10.3-r3.apk
2.4 MiB
twinkle-doc-1.10.3-r3.apk
3.2 KiB
ty-0.0.4-r0.apk
7.0 MiB
ty-bash-completion-0.0.4-r0.apk
2.3 KiB
ty-fish-completion-0.0.4-r0.apk
2.9 KiB
ty-pyc-0.0.4-r0.apk
3.6 KiB
ty-zsh-completion-0.0.4-r0.apk
3.2 KiB
typlite-0.14.2-r0.apk
16 MiB
typobuster-1.0.0-r0.apk
129 KiB
typstyle-0.12.14-r0.apk
556 KiB
u1db-qt-0.1.8-r0.apk
99 KiB
uasm-2.56.2-r0.apk
282 KiB
ubase-20200605-r3.apk
45 KiB
ubase-doc-20200605-r3.apk
21 KiB
ubuntu-archive-keyring-2023.11.28.1-r0.apk
16 KiB
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2.0 KiB
ubus-2025.10.17-r0.apk
38 KiB
ubus-dev-2025.10.17-r0.apk
5.3 KiB
uclient-20251003-r0.apk
20 KiB
uclient-dev-20251003-r0.apk
3.3 KiB
uclient-fetch-20251003-r0.apk
10 KiB
udpt-3.1.2-r0.apk
749 KiB
udpt-openrc-3.1.2-r0.apk
1.5 KiB
ueberzug-18.3.1-r0.apk
65 KiB
ueberzug-pyc-18.3.1-r0.apk
64 KiB
ufw-docker-251123-r0.apk
9.3 KiB
ufw-docker-doc-251123-r0.apk
13 KiB
undock-0.10.0-r5.apk
9.8 MiB
unicorn-2.1.4-r0.apk
8.0 MiB
unicorn-dev-2.1.4-r0.apk
9.6 MiB
up-0.4-r34.apk
1.2 MiB
update-sysfs-2.1.1_p7-r0.apk
2.9 KiB
upterm-0.17.0-r1.apk
6.8 MiB
upterm-bash-completion-0.17.0-r1.apk
5.3 KiB
upterm-doc-0.17.0-r1.apk
6.8 KiB
upterm-server-0.17.0-r1.apk
6.5 MiB
upterm-server-openrc-0.17.0-r1.apk
1.7 KiB
upterm-zsh-completion-0.17.0-r1.apk
3.8 KiB
uranium-5.2.2-r3.apk
595 KiB
usb-moded-notify-0.3.0-r0.apk
2.8 KiB
usb-moded-notify-systemd-0.3.0-r0.apk
1.5 KiB
usbtop-1.0-r0.apk
15 KiB
usql-0.19.26-r1.apk
42 MiB
ustr-1.0.4-r1.apk
59 KiB
ustr-debug-1.0.4-r1.apk
77 KiB
ustr-dev-1.0.4-r1.apk
91 KiB
ustr-doc-1.0.4-r1.apk
96 KiB
ustr-static-1.0.4-r1.apk
180 KiB
utop-2.9.1-r4.apk
13 MiB
utop-common-2.9.1-r4.apk
1.8 KiB
utop-doc-2.9.1-r4.apk
5.5 KiB
utop-emacs-2.9.1-r4.apk
13 KiB
utop-full-2.9.1-r4.apk
13 MiB
uucp-1.07-r6.apk
499 KiB
uucp-doc-1.07-r6.apk
118 KiB
uxn-1.0-r0.apk
47 KiB
uxn-doc-1.0-r0.apk
3.9 KiB
valent-1.0.0_alpha49-r0.apk
363 KiB
valent-dev-1.0.0_alpha49-r0.apk
94 KiB
valent-lang-1.0.0_alpha49-r0.apk
38 KiB
valent-libs-1.0.0_alpha49-r0.apk
139 KiB
vali-0.1.0-r1.apk
32 KiB
vali-dev-0.1.0-r1.apk
4.0 KiB
vals-0.42.4-r1.apk
35 MiB
varnish-modules-0.26.0-r0.apk
56 KiB
varnish-modules-doc-0.26.0-r0.apk
21 KiB
vcdimager-2.0.1-r5.apk
484 KiB
vcdimager-dev-2.0.1-r5.apk
129 KiB
vcdimager-doc-2.0.1-r5.apk
74 KiB
vcmi-1.7.1-r0.apk
14 MiB
vcsh-2.0.5-r0.apk
8.5 KiB
vcsh-bash-completion-2.0.5-r0.apk
2.7 KiB
vcsh-doc-2.0.5-r0.apk
27 KiB
vcsh-zsh-completion-2.0.5-r0.apk
2.7 KiB
vcstool-0.3.0-r5.apk
34 KiB
vcstool-bash-completion-0.3.0-r5.apk
1.5 KiB
vcstool-pyc-0.3.0-r5.apk
58 KiB
vcstool-tcsh-completion-0.3.0-r5.apk
1.4 KiB
vcstool-zsh-completion-0.3.0-r5.apk
1.5 KiB
vector-0.50.0-r0.apk
26 MiB
vector-doc-0.50.0-r0.apk
5.5 KiB
vector-openrc-0.50.0-r0.apk
1.9 KiB
vectoroids-1.1.0-r2.apk
282 KiB
vectoroids-doc-1.1.0-r2.apk
2.0 KiB
vera++-1.3.0-r11.apk
197 KiB
vertigo-0.3.4-r1.apk
1.6 MiB
vertigo-doc-0.3.4-r1.apk
1.6 KiB
vfd-configurations-0_git20230612-r0.apk
24 KiB
vice-3.9-r3.apk
14 MiB
vidcutter-6.0.5.3-r0.apk
2.8 MiB
vidcutter-doc-6.0.5.3-r0.apk
24 KiB
vidcutter-pyc-6.0.5.3-r0.apk
1.9 MiB
video-trimmer-25.03-r0.apk
395 KiB
video-trimmer-lang-25.03-r0.apk
92 KiB
viewnior-1.8-r1.apk
76 KiB
viewnior-doc-1.8-r1.apk
1.8 KiB
viewnior-lang-1.8-r1.apk
85 KiB
vile-9.8z_p1-r2.apk
791 KiB
vile-common-9.8z_p1-r2.apk
363 KiB
vile-doc-9.8z_p1-r2.apk
357 KiB
vim-airline-0.11-r0.apk
86 KiB
vim-airline-doc-0.11-r0.apk
12 KiB
vim-nerdtree-7.1.3-r0.apk
66 KiB
vim-rust-305-r1.apk
20 KiB
vimv-3.1.0-r0.apk
269 KiB
vimv-doc-3.1.0-r0.apk
1.7 KiB
virtctl-1.6.2-r1.apk
14 MiB
virtctl-bash-completion-1.6.2-r1.apk
4.9 KiB
virtctl-fish-completion-1.6.2-r1.apk
4.1 KiB
virtctl-zsh-completion-1.6.2-r1.apk
3.8 KiB
virter-0.29.0-r5.apk
5.6 MiB
virter-bash-completion-0.29.0-r5.apk
5.8 KiB
virter-doc-0.29.0-r5.apk
15 KiB
virter-fish-completion-0.29.0-r5.apk
4.1 KiB
virter-zsh-completion-0.29.0-r5.apk
3.8 KiB
virtualgl-3.1.4-r0.apk
2.0 MiB
virtualgl-dev-3.1.4-r0.apk
5.9 KiB
virtualgl-doc-3.1.4-r0.apk
314 KiB
visidata-3.3-r0.apk
424 KiB
visidata-doc-3.3-r0.apk
18 KiB
visidata-pyc-3.3-r0.apk
846 KiB
visidata-zsh-completion-3.3-r0.apk
9.2 KiB
vit-2.3.2-r1.apk
80 KiB
vit-pyc-2.3.2-r1.apk
151 KiB
vkbasalt-0.3.2.10-r0.apk
410 KiB
vkbasalt-doc-0.3.2.10-r0.apk
2.8 KiB
vmlinux.h-6.18-r0.apk
220 KiB
vmtouch-1.3.1-r0.apk
13 KiB
vmtouch-doc-1.3.1-r0.apk
7.8 KiB
voikko-fi-2.5-r0.apk
1.6 MiB
volatility3-2.26.2-r0.apk
1.0 MiB
volatility3-pyc-2.26.2-r0.apk
1.2 MiB
volumeicon-0.5.1-r1.apk
43 KiB
volumeicon-lang-0.5.1-r1.apk
3.5 KiB
vym-2.9.26-r0.apk
2.8 MiB
vym-doc-2.9.26-r0.apk
3.4 MiB
w_scan2-1.0.17-r0.apk
134 KiB
w_scan2-doc-1.0.17-r0.apk
3.9 KiB
wabt-1.0.37-r0.apk
4.4 MiB
wabt-doc-1.0.37-r0.apk
13 KiB
wakeonlan-0.42-r0.apk
4.2 KiB
wakeonlan-doc-0.42-r0.apk
7.3 KiB
walk-1.13.0-r8.apk
3.0 MiB
walk-doc-1.13.0-r8.apk
2.0 KiB
walk-sor-0_git20190920-r1.apk
5.7 KiB
walk-sor-doc-0_git20190920-r1.apk
7.5 KiB
warp-s3-1.3.1-r1.apk
7.8 MiB
warpinator-2.0.2-r0.apk
224 KiB
warpinator-lang-2.0.2-r0.apk
278 KiB
warpinator-nemo-2.0.2-r0.apk
4.3 KiB
wasmtime-39.0.1-r0.apk
8.9 MiB
wasmtime-dev-39.0.1-r0.apk
114 KiB
watchbind-0.2.1-r1.apk
1.1 MiB
watchbind-doc-0.2.1-r1.apk
6.3 KiB
watchdog-5.16-r2.apk
48 KiB
watchdog-doc-5.16-r2.apk
14 KiB
watershot-0.2.0-r0.apk
1.8 MiB
way-displays-1.15.0-r0.apk
120 KiB
way-displays-doc-1.15.0-r0.apk
4.3 KiB
way-secure-0.2.0-r0.apk
225 KiB
way-secure-doc-0.2.0-r0.apk
2.8 KiB
wayfarer-1.4.0-r0.apk
66 KiB
wayfire-0.9.0-r0.apk
2.6 MiB
wayfire-dev-0.9.0-r0.apk
130 KiB
wayfire-doc-0.9.0-r0.apk
3.4 KiB
wayfire-plugins-extra-0.9.0-r0.apk
593 KiB
waylevel-1.0.0-r1.apk
326 KiB
waynergy-0.0.17-r1.apk
50 KiB
wayqt-0.3.0-r1.apk
142 KiB
wayqt-dev-0.3.0-r1.apk
18 KiB
wbg-1.3.0-r1.apk
41 KiB
wch-isp-0.4.1-r2.apk
11 KiB
wch-isp-doc-0.4.1-r2.apk
2.4 KiB
wch-isp-udev-rules-0.4.1-r2.apk
1.4 KiB
wcm-0.9.0-r0.apk
372 KiB
webhookd-1.20.2-r5.apk
3.2 MiB
webhookd-doc-1.20.2-r5.apk
2.0 KiB
webhookd-openrc-1.20.2-r5.apk
2.0 KiB
webtunnel-0.0.2-r6.apk
3.6 MiB
weggli-0.2.4-r1.apk
853 KiB
welle-cli-2.7-r0.apk
318 KiB
welle-io-2.7-r0.apk
413 KiB
welle-io-doc-2.7-r0.apk
3.7 KiB
wf-config-0.9.0-r0.apk
112 KiB
wf-config-dev-0.9.0-r0.apk
16 KiB
wf-shell-0.9.0-r0.apk
6.1 MiB
wf-shell-dev-0.9.0-r0.apk
1.4 KiB
wf-shell-doc-0.9.0-r0.apk
2.8 KiB
wgcf-2.2.29-r1.apk
4.4 MiB
wgcf-bash-completion-2.2.29-r1.apk
5.8 KiB
wgcf-fish-completion-2.2.29-r1.apk
4.1 KiB
wgcf-zsh-completion-2.2.29-r1.apk
3.8 KiB
whipper-0.10.0-r5.apk
113 KiB
whipper-pyc-0.10.0-r5.apk
185 KiB
wiki-tui-0.9.1-r0.apk
2.2 MiB
wiki-tui-doc-0.9.1-r0.apk
4.3 KiB
wiremapper-0.10.0-r0.apk
22 KiB
wiremix-0.7.0-r0.apk
876 KiB
wiremix-doc-0.7.0-r0.apk
9.1 KiB
witchery-0.0.3-r2.apk
3.2 KiB
wk-adblock-0.0.4-r5.apk
181 KiB
wk-adblock-doc-0.0.4-r5.apk
1.8 KiB
wl-clip-persist-0.5.0-r0.apk
977 KiB
wl-clipboard-x11-5-r3.apk
3.2 KiB
wl-clipboard-x11-doc-5-r3.apk
2.7 KiB
wl-gammarelay-0.1.3-r4.apk
1.6 MiB
wl-screenrec-0.1.7-r1.apk
590 KiB
wl-screenrec-bash-completion-0.1.7-r1.apk
2.2 KiB
wl-screenrec-doc-0.1.7-r1.apk
9.2 KiB
wl-screenrec-fish-completion-0.1.7-r1.apk
3.0 KiB
wl-screenrec-zsh-completion-0.1.7-r1.apk
3.4 KiB
wlavu-0_git20201101-r1.apk
12 KiB
wlclock-1.0.1-r0.apk
17 KiB
wlclock-doc-1.0.1-r0.apk
3.3 KiB
wlr-sunclock-1.2.1-r0.apk
46 KiB
wlroots0.17-0.17.4-r3.apk
385 KiB
wlroots0.17-dbg-0.17.4-r3.apk
1.4 MiB
wlroots0.17-dev-0.17.4-r3.apk
77 KiB
wlroots0.18-0.18.3-r0.apk
398 KiB
wlroots0.18-dbg-0.18.3-r0.apk
1.5 MiB
wlroots0.18-dev-0.18.3-r0.apk
82 KiB
wlroots0.18-static-0.18.3-r0.apk
8.1 MiB
wmctrl-1.07-r1.apk
14 KiB
wmctrl-doc-1.07-r1.apk
5.1 KiB
wmi-client-1.3.16-r5.apk
2.5 MiB
wok-3.0.0-r6.apk
156 KiB
wok-doc-3.0.0-r6.apk
3.4 KiB
wok-lang-3.0.0-r6.apk
16 KiB
wok-pyc-3.0.0-r6.apk
118 KiB
wol-0.7.1-r3.apk
26 KiB
wol-doc-0.7.1-r3.apk
5.3 KiB
wol-lang-0.7.1-r3.apk
7.9 KiB
wolfssh-1.4.17-r2.apk
138 KiB
wolfssh-dev-1.4.17-r2.apk
173 KiB
wpa_actiond-1.4-r7.apk
10 KiB
wpa_actiond-openrc-1.4-r7.apk
2.0 KiB
wput-0.6.2-r4.apk
41 KiB
wput-doc-0.6.2-r4.apk
8.2 KiB
wshowkeys-1.0-r0.apk
15 KiB
wsmancli-2.8.0-r0.apk
20 KiB
wsmancli-doc-2.8.0-r0.apk
3.4 KiB
wtfutil-0.43.0-r18.apk
18 MiB
x11docker-7.6.0-r1.apk
113 KiB
x11docker-doc-7.6.0-r1.apk
9.1 KiB
xa-2.4.1-r0.apk
90 KiB
xa-doc-2.4.1-r0.apk
17 KiB
xcape-1.2-r1.apk
7.0 KiB
xcape-doc-1.2-r1.apk
2.8 KiB
xcompmgr-1.1.10-r0.apk
15 KiB
xcompmgr-doc-1.1.10-r0.apk
2.3 KiB
xdg-desktop-portal-hyprland-1.3.11-r0.apk
354 KiB
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk
2.2 KiB
xdg-native-messaging-proxy-0.1.0-r0.apk
23 KiB
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
1.5 KiB
xdg-ninja-0.2.0.2-r0.apk
70 KiB
xed-3.8.4-r0.apk
1.1 MiB
xed-dev-3.8.4-r0.apk
13 KiB
xed-doc-3.8.4-r0.apk
971 KiB
xed-lang-3.8.4-r0.apk
2.1 MiB
xed-python-3.8.4-r0.apk
24 KiB
xemu-0.8.74-r0.apk
4.4 MiB
xendmail-0.4.4-r0.apk
960 KiB
xendmail-doc-0.4.4-r0.apk
2.3 KiB
xfce4-hamster-plugin-1.17-r0.apk
38 KiB
xfce4-hamster-plugin-lang-1.17-r0.apk
5.1 KiB
xfce4-mixer-4.18.1-r2.apk
89 KiB
xfce4-mixer-doc-4.18.1-r2.apk
2.2 KiB
xfce4-mixer-lang-4.18.1-r2.apk
58 KiB
xfce4-panel-profiles-1.1.1-r1.apk
57 KiB
xfce4-panel-profiles-doc-1.1.1-r1.apk
20 KiB
xfce4-panel-profiles-lang-1.1.1-r1.apk
53 KiB
xfd-1.1.4-r0.apk
14 KiB
xfd-doc-1.1.4-r0.apk
4.7 KiB
xfsdump-3.2.0-r0.apk
418 KiB
xfsdump-doc-3.2.0-r0.apk
42 KiB
xgalaga-2.1.1.0-r1.apk
312 KiB
xgalaga-doc-2.1.1.0-r1.apk
2.3 KiB
xiccd-0.4.1-r0.apk
15 KiB
xiccd-doc-0.4.1-r0.apk
3.3 KiB
xisxwayland-2-r2.apk
4.1 KiB
xisxwayland-doc-2-r2.apk
1.8 KiB
xkb-switch-1.8.5-r1.apk
21 KiB
xkb-switch-doc-1.8.5-r1.apk
1.9 KiB
xlhtml-0.5.1-r0.apk
12 KiB
xlhtml-doc-0.5.1-r0.apk
2.2 KiB
xload-1.1.4-r0.apk
7.3 KiB
xload-doc-1.1.4-r0.apk
3.2 KiB
xmag-1.0.8-r0.apk
17 KiB
xmag-doc-1.0.8-r0.apk
4.5 KiB
xml2rfc-3.28.1-r3.apk
352 KiB
xml2rfc-pyc-3.28.1-r3.apk
407 KiB
xmoto-0.6.3-r0.apk
1.9 MiB
xmoto-data-0.6.3-r0.apk
37 MiB
xmoto-doc-0.6.3-r0.apk
5.6 KiB
xmoto-lang-0.6.3-r0.apk
532 KiB
xmp-4.2.0-r0.apk
23 KiB
xmp-doc-4.2.0-r0.apk
5.1 KiB
xmpp-dns-0.2.4-r29.apk
1.8 MiB
xmppipe-0.16.0-r1.apk
17 KiB
xone-src-0.5.1-r0.apk
57 KiB
xonsh-0.19.9-r0.apk
589 KiB
xonsh-pyc-0.19.9-r0.apk
1.0 MiB
xosview-1.24-r0.apk
127 KiB
xosview-doc-1.24-r0.apk
12 KiB
xpar-0.7-r0.apk
27 KiB
xpar-doc-0.7-r0.apk
4.1 KiB
xsane-0.999-r2.apk
1.5 MiB
xsane-doc-0.999-r2.apk
4.0 KiB
xsane-lang-0.999-r2.apk
440 KiB
xsecurelock-1.9.0-r1.apk
75 KiB
xsecurelock-doc-1.9.0-r1.apk
18 KiB
xsoldier-1.8-r2.apk
69 KiB
xsoldier-doc-1.8-r2.apk
2.4 KiB
xtensor-0.27.0-r0.apk
270 KiB
xtl-0.8.1-r0.apk
90 KiB
xva-img-1.5-r0.apk
18 KiB
xvidtune-1.0.4-r0.apk
17 KiB
xvidtune-doc-1.0.4-r0.apk
3.9 KiB
xvile-9.8z_p1-r2.apk
817 KiB
xwayland-satellite-0.7-r0.apk
1.0 MiB
yaegi-0.16.1-r13.apk
7.0 MiB
yamkix-0.14.0-r0.apk
17 KiB
yamkix-pyc-0.14.0-r0.apk
20 KiB
yaml-language-server-1.19.2-r0.apk
666 KiB
yaml-language-server-doc-1.19.2-r0.apk
2.0 KiB
yamldiff-0.3.0-r3.apk
1.6 MiB
yamldiff-doc-0.3.0-r3.apk
2.0 KiB
yarn-berry-4.9.1-r0.apk
1.0 MiB
yaru-common-25.10.3-r0.apk
1.0 KiB
yaru-gtksourceview-25.10.3-r0.apk
4.7 KiB
yaru-icon-theme-25.10.3-r0.apk
35 MiB
yaru-icon-theme-bark-25.10.3-r0.apk
1.1 MiB
yaru-icon-theme-blue-25.10.3-r0.apk
1.2 MiB
yaru-icon-theme-magenta-25.10.3-r0.apk
1.1 MiB
yaru-icon-theme-mate-25.10.3-r0.apk
1.3 MiB
yaru-icon-theme-olive-25.10.3-r0.apk
1.1 MiB
yaru-icon-theme-prussiangreen-25.10.3-r0.apk
1.2 MiB
yaru-icon-theme-purple-25.10.3-r0.apk
1.2 MiB
yaru-icon-theme-red-25.10.3-r0.apk
1.2 MiB
yaru-icon-theme-sage-25.10.3-r0.apk
1.2 MiB
yaru-icon-theme-viridian-25.10.3-r0.apk
1.2 MiB
yaru-icon-theme-wartybrown-25.10.3-r0.apk
1.2 MiB
yaru-icon-theme-yellow-25.10.3-r0.apk
1.2 MiB
yaru-schemas-25.10.3-r0.apk
1.6 KiB
yaru-shell-25.10.3-r0.apk
232 KiB
yaru-sounds-25.10.3-r0.apk
1.2 MiB
yaru-theme-25.10.3-r0.apk
840 KiB
yaru-theme-bark-25.10.3-r0.apk
762 KiB
yaru-theme-blue-25.10.3-r0.apk
766 KiB
yaru-theme-hdpi-25.10.3-r0.apk
73 KiB
yaru-theme-magenta-25.10.3-r0.apk
762 KiB
yaru-theme-mate-25.10.3-r0.apk
772 KiB
yaru-theme-olive-25.10.3-r0.apk
759 KiB
yaru-theme-prussiangreen-25.10.3-r0.apk
760 KiB
yaru-theme-purple-25.10.3-r0.apk
757 KiB
yaru-theme-red-25.10.3-r0.apk
760 KiB
yaru-theme-sage-25.10.3-r0.apk
763 KiB
yaru-theme-viridian-25.10.3-r0.apk
760 KiB
yaru-theme-wartybrown-25.10.3-r0.apk
764 KiB
yaru-theme-yellow-25.10.3-r0.apk
766 KiB
yass-2.5.0-r0.apk
14 MiB
ydcv-0.7-r8.apk
20 KiB
ydcv-pyc-0.7-r8.apk
10 KiB
ydcv-zsh-completion-0.7-r8.apk
1.9 KiB
yices2-2.6.5-r0.apk
2.7 MiB
yices2-dev-2.6.5-r0.apk
41 KiB
yices2-libs-2.6.5-r0.apk
1013 KiB
yoshimi-2.3.3.3-r0.apk
6.1 MiB
yoshimi-doc-2.3.3.3-r0.apk
4.5 MiB
yosys-0.57-r0.apk
25 MiB
yosys-dev-0.57-r0.apk
277 KiB
youki-0.5.7-r0.apk
1.8 MiB
youki-dbg-0.5.7-r0.apk
7.9 MiB
youtube-viewer-3.11.6-r0.apk
84 KiB
youtube-viewer-doc-3.11.6-r0.apk
40 KiB
youtube-viewer-gtk-3.11.6-r0.apk
171 KiB
ytmdl-2024.08.15.1-r1.apk
50 KiB
ytmdl-bash-completion-2024.08.15.1-r1.apk
2.0 KiB
ytmdl-pyc-2024.08.15.1-r1.apk
78 KiB
ytmdl-zsh-completion-2024.08.15.1-r1.apk
1.9 KiB
ytt-0.52.1-r2.apk
4.4 MiB
yubikey-agent-0.1.6-r17.apk
1.8 MiB
z-1.12-r0.apk
4.3 KiB
z-doc-1.12-r0.apk
3.7 KiB
zafiro-icon-theme-1.3-r0.apk
19 MiB
zarchive-0.1.2-r2.apk
17 KiB
zarchive-dev-0.1.2-r2.apk
6.5 KiB
zarchive-libs-0.1.2-r2.apk
26 KiB
zfs-src-2.4.0-r0.apk
33 MiB
zfsbootmenu-2.3.0-r1.apk
128 KiB
zfsbootmenu-doc-2.3.0-r1.apk
16 KiB
zile-2.6.2-r1.apk
125 KiB
zile-doc-2.6.2-r1.apk
16 KiB
zita-njbridge-0.4.8-r1.apk
31 KiB
zita-njbridge-doc-0.4.8-r1.apk
5.0 KiB
zita-resampler-1.11.2-r0.apk
26 KiB
zita-resampler-dev-1.11.2-r0.apk
3.0 KiB
zita-resampler-doc-1.11.2-r0.apk
3.8 KiB
zot-2.1.8-r2.apk
72 MiB
zot-cli-2.1.8-r2.apk
9.9 MiB
zot-cli-bash-completion-2.1.8-r2.apk
5.8 KiB
zot-cli-fish-completion-2.1.8-r2.apk
4.1 KiB
zot-cli-zsh-completion-2.1.8-r2.apk
3.8 KiB
zot-doc-2.1.8-r2.apk
9.1 KiB
zot-exporter-2.1.8-r2.apk
3.8 MiB
zot-openrc-2.1.8-r2.apk
1.7 KiB
zpaq-7.15-r0.apk
193 KiB
zpaq-doc-7.15-r0.apk
16 KiB
zrepl-0.6.1-r16.apk
6.6 MiB
zrepl-bash-completion-0.6.1-r16.apk
4.2 KiB
zrepl-openrc-0.6.1-r16.apk
1.5 KiB
zrepl-zsh-completion-0.6.1-r16.apk
1.7 KiB
zsh-histdb-skim-0.8.6-r0.apk
787 KiB
zsh-manydots-magic-0_git20230607-r1.apk
2.6 KiB
zutty-0.16-r0.apk
157 KiB
zutty-doc-0.16-r0.apk
66 KiB
zvbi-0.2.44-r0.apk
179 KiB
zvbi-doc-0.2.44-r0.apk
21 KiB
zycore-1.5.0-r1.apk
22 KiB
zycore-dev-1.5.0-r1.apk
37 KiB
zycore-doc-1.5.0-r1.apk
432 KiB